OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_12/] [sim/] [rtl_sim/] [run/] [run_pci_sim_regr.scr] - Blame information for rev 104

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 mihad
#!/bin/csh -f
2
 
3 45 mihad
set arg_num = $#argv; # number of arguments
4 17 mihad
 
5 26 mihad
# current iterration
6 17 mihad
set iter = 1;
7 26 mihad
# number of tests with DEFINES + test with user defined constants!
8 104 mihad
set all_iterations = 14;
9 26 mihad
 
10
# variables
11
set iter_failed = 0;
12
set all_iters = 0;
13
set subtest_failed = 0;
14
set sub_tests = 0;
15
set test_failed = 0;
16 17 mihad
set all_tests = 0;
17
 
18
# Process arguments
19
set arg_regression = 0;
20
set arg_xilinx = 0;
21
set arg_artisan = 0;
22 26 mihad
set arg_waves = 0;
23 60 mihad
set arg_vs_hdtp = 0
24 63 mihad
set arg_dis_comp_exp_test = 0
25 17 mihad
 
26 45 mihad
@ arg_num     = 1
27
set arg_check = 0
28
 
29
while($arg_num <= $#argv)
30 104 mihad
        if ($argv[$arg_num] == "help") then
31 63 mihad
        goto help
32 104 mihad
        endif
33 63 mihad
 
34 104 mihad
        if ($argv[$arg_num] == "regression") then
35 45 mihad
        @ arg_regression = 1
36
        @ arg_check      = $arg_check + 1
37 104 mihad
        endif
38
 
39
        if ($argv[$arg_num] == "xilinx") then
40 45 mihad
        @ arg_xilinx = 1
41
        @ arg_check      = $arg_check + 1
42 104 mihad
        endif
43 17 mihad
 
44 104 mihad
        if($argv[$arg_num] == "artisan") then
45 45 mihad
        @ arg_artisan = 1
46
        @ arg_check      = $arg_check + 1
47 104 mihad
        endif
48
 
49
        if ($argv[$arg_num] == "waves") then
50 45 mihad
        @ arg_waves = 1
51
        @ arg_check      = $arg_check + 1
52 104 mihad
        endif
53 17 mihad
 
54 104 mihad
        if ($argv[$arg_num] == "vs_two_port") then
55 60 mihad
        @ arg_vs_hdtp = 1
56
        @ arg_check   = $arg_check + 1
57 104 mihad
        endif
58 60 mihad
 
59 104 mihad
        if ($argv[$arg_num] == "disable_completion_expired_tests") then
60 63 mihad
        @ arg_dis_comp_exp_test = 1
61
        @ arg_check   = $arg_check + 1
62 104 mihad
        endif
63
 
64
        if ($argv[$arg_num] == "iter") then
65
        @ arg_num = $arg_num + 1
66
        @ arg_check = $arg_check + 2
67
        @ iter = $argv[$arg_num]
68
        @ all_iterations = $iter
69 63 mihad
    endif
70
 
71 45 mihad
    if ($arg_check != $arg_num) then
72 63 mihad
        echo "Invalid argument found: $argv[$arg_num]"
73
        echo
74
        goto help
75 45 mihad
    endif
76
    @ arg_num = $arg_num + 1
77
end
78
 
79 17 mihad
# ITERATION LOOP
80
iteration:
81
 
82
echo ""
83
echo "<<<"
84
echo "<<< Iteration ${iter}"
85
echo "<<<"
86
 
87
 
88
if ($arg_regression == 1) then
89 104 mihad
    # Preparing defines into file
90
    if ($iter <= $all_iterations) then
91 63 mihad
 
92 104 mihad
        if ($iter == 1) then
93
            echo "<<< Defines:"
94
 
95
            if ($arg_xilinx == 0) then
96
                echo "\tREGR_FIFO_SMALL_GENERIC, "
97
            else
98
                echo "\tREGR_FIFO_SMALL_XILINX, "
99
            endif
100
 
101
            echo "\tHOST, WB_DECODE_FAST, PCI_DECODE_MAX, "
102
            echo "\tWB_DECODE_MIN, PCI33, WB_CLK10, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
103
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL, PCI_IMAGE0, PCI_IMAGE2. "
104
 
105
            echo "-DEFINE REGRESSION" > ./defines.args
106
 
107
            if ($arg_xilinx == 0) then
108
                echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
109
            else
110
                echo "-DEFINE REGR_FIFO_SMALL_XILINX" >> ./defines.args
111
            endif
112
 
113
            echo "-DEFINE HOST                " >> ./defines.args
114
            echo "-DEFINE WB_DECODE_FAST      " >> ./defines.args
115
            echo "-DEFINE PCI_DECODE_MAX      " >> ./defines.args
116
            echo "-DEFINE WB_DECODE_MIN       " >> ./defines.args
117
            echo "-DEFINE PCI33               " >> ./defines.args
118
            echo "-DEFINE WB_CLK10            " >> ./defines.args
119
            echo "-DEFINE ACTIVE_LOW_OE       " >> ./defines.args
120
            echo "-DEFINE REGISTER_WBM_OUTPUTS" >> ./defines.args
121
            echo "-DEFINE REGISTER_WBS_OUTPUTS" >> ./defines.args
122
            echo "-DEFINE ADDR_TRAN_IMPL      " >> ./defines.args
123
            echo "-DEFINE PCI_IMAGE0          " >> ./defines.args
124
            echo "-DEFINE PCI_IMAGE2          " >> ./defines.args
125
 
126 63 mihad
        endif
127 104 mihad
 
128
        if ($iter == 2) then
129
 
130
            echo "<<< Defines:"
131
 
132
            if ($arg_xilinx == 1) then
133
                echo "\tREGR_FIFO_MEDIUM_XILINX, "
134
            else if ($arg_artisan == 1) then
135
                echo "\tREGR_FIFO_MEDIUM_ARTISAN, "
136
            else
137
                echo "\tREGR_FIFO_MEDIUM_GENERIC, "
138
            endif
139
 
140
            echo "\tHOST, WB_DECODE_MEDIUM, PCI_DECODE_MED, "
141
            echo "\tWB_DECODE_MED, PCI33, WB_CLK66, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
142
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL, PCI_IMAGE0, PCI_IMAGE2, "
143
            echo "\tPCI_IMAGE3, PCI_IMAGE4, PCI_IMAGE5, WB_IMAGE2, WB_IMAGE5. "
144
 
145
            echo "-DEFINE REGRESSION          " > ./defines.args
146
 
147
            if ($arg_xilinx == 1) then
148
                echo "-DEFINE REGR_FIFO_MEDIUM_XILINX" >> ./defines.args
149
            else if ($arg_artisan == 1) then
150
                        echo "-DEFINE REGR_FIFO_MEDIUM_ARTISAN" >> ./defines.args
151
            else
152
                echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
153
            endif
154
 
155
            echo "-DEFINE HOST                " >> ./defines.args
156
            echo "-DEFINE WB_DECODE_MEDIUM    " >> ./defines.args
157
            echo "-DEFINE PCI_DECODE_MED      " >> ./defines.args
158
            echo "-DEFINE WB_DECODE_MED       " >> ./defines.args
159
            echo "-DEFINE PCI33               " >> ./defines.args
160
            echo "-DEFINE WB_CLK66            " >> ./defines.args
161
            echo "-DEFINE ACTIVE_LOW_OE       " >> ./defines.args
162
            echo "-DEFINE REGISTER_WBM_OUTPUTS" >> ./defines.args
163
            echo "-DEFINE REGISTER_WBS_OUTPUTS" >> ./defines.args
164
            echo "-DEFINE ADDR_TRAN_IMPL      " >> ./defines.args
165
            echo "-DEFINE PCI_IMAGE0          " >> ./defines.args
166
            echo "-DEFINE PCI_IMAGE2          " >> ./defines.args
167
            echo "-DEFINE PCI_IMAGE3          " >> ./defines.args
168
            echo "-DEFINE PCI_IMAGE4          " >> ./defines.args
169
            echo "-DEFINE PCI_IMAGE5          " >> ./defines.args
170
            echo "-DEFINE WB_IMAGE2           " >> ./defines.args
171
            echo "-DEFINE WB_IMAGE5           " >> ./defines.args
172 81 mihad
 
173 104 mihad
        endif
174 81 mihad
 
175 104 mihad
        if ($iter == 3) then
176
            echo "<<< Defines:"
177
            echo "\tHOST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MIN, "
178
            echo "\tWB_DECODE_MAX, PCI66, WB_CLK66, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
179
            echo "\tREGISTER_WBS_OUTPUTS, WB_IMAGE5. "
180
 
181
            echo "-DEFINE REGRESSION             " >  ./defines.args
182 81 mihad
 
183 104 mihad
            echo "-DEFINE HOST                   " >> ./defines.args
184
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
185
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
186
            echo "-DEFINE PCI_DECODE_MIN         " >> ./defines.args
187
            echo "-DEFINE WB_DECODE_MAX          " >> ./defines.args
188
            echo "-DEFINE PCI66                  " >> ./defines.args
189
            echo "-DEFINE WB_CLK66               " >> ./defines.args
190
            echo "-DEFINE ACTIVE_LOW_OE          " >> ./defines.args
191
            echo "-DEFINE REGISTER_WBM_OUTPUTS   " >> ./defines.args
192
            echo "-DEFINE REGISTER_WBS_OUTPUTS   " >> ./defines.args
193
            echo "-DEFINE WB_IMAGE5              " >> ./defines.args
194
 
195
        endif
196
 
197
        if ($iter == 4) then
198
            echo "<<< Defines:"
199
            echo "\tGUEST, REGR_FIFO_SMALL_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MED, "
200
            echo "\tWB_DECODE_MIN, PCI66, WB_CLK220, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
201
            echo "\tREGISTER_WBS_OUTPUTS, PCI_IMAGE0, PCI_IMAGE5, WB_IMAGE4. "
202
 
203
            echo "-DEFINE REGRESSION" > ./defines.args
204
 
205
            echo "-DEFINE GUEST                  " >> ./defines.args
206
            echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
207
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
208
            echo "-DEFINE PCI_DECODE_MED         " >> ./defines.args
209
            echo "-DEFINE WB_DECODE_MIN          " >> ./defines.args
210
            echo "-DEFINE PCI66                  " >> ./defines.args
211
            echo "-DEFINE WB_CLK220              " >> ./defines.args
212
            echo "-DEFINE ACTIVE_LOW_OE          " >> ./defines.args
213
            echo "-DEFINE REGISTER_WBM_OUTPUTS   " >> ./defines.args
214
            echo "-DEFINE REGISTER_WBS_OUTPUTS   " >> ./defines.args
215
            echo "-DEFINE PCI_IMAGE0             " >> ./defines.args
216
            echo "-DEFINE PCI_IMAGE5             " >> ./defines.args
217
            echo "-DEFINE WB_IMAGE4              " >> ./defines.args
218
        endif
219
 
220
        if ($iter == 5) then
221
            echo "<<< Defines:"
222
 
223
            if ($arg_artisan == 1) then
224
                echo "\tREGR_FIFO_MEDIUM_ARTISAN, "
225
            else
226
                echo "\tREGR_FIFO_MEDIUM_GENERIC, "
227
            endif
228
 
229
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MIN, "
230
            echo "\tWB_DECODE_MAX, PCI33, WB_CLK220, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
231
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL, PCI_IMAGE0, PCI_IMAGE2, "
232
            echo "\tWB_IMAGE2, WB_IMAGE3, WB_IMAGE4. "
233
 
234
            echo "-DEFINE REGRESSION" > ./defines.args
235
 
236
            if ($arg_artisan == 1) then
237
                        echo "-DEFINE REGR_FIFO_MEDIUM_ARTISAN" >> ./defines.args
238
            else
239
                echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
240
            endif
241
 
242
            echo "-DEFINE GUEST                   " >> ./defines.args
243
            echo "-DEFINE WB_DECODE_FAST          " >> ./defines.args
244
            echo "-DEFINE PCI_DECODE_MIN          " >> ./defines.args
245
            echo "-DEFINE WB_DECODE_MAX           " >> ./defines.args
246
            echo "-DEFINE PCI33                   " >> ./defines.args
247
            echo "-DEFINE WB_CLK220               " >> ./defines.args
248
            echo "-DEFINE ACTIVE_LOW_OE           " >> ./defines.args
249
            echo "-DEFINE REGISTER_WBM_OUTPUTS    " >> ./defines.args
250
            echo "-DEFINE REGISTER_WBS_OUTPUTS    " >> ./defines.args
251
            echo "-DEFINE ADDR_TRAN_IMPL          " >> ./defines.args
252
            echo "-DEFINE PCI_IMAGE0              " >> ./defines.args
253
            echo "-DEFINE PCI_IMAGE2              " >> ./defines.args
254
            echo "-DEFINE WB_IMAGE2               " >> ./defines.args
255
            echo "-DEFINE WB_IMAGE3               " >> ./defines.args
256
            echo "-DEFINE WB_IMAGE4               " >> ./defines.args
257
        endif
258
 
259
        if ($iter == 6) then
260
            echo "<<< Defines:"
261
            echo "\tGUEST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_MEDIUM, PCI_DECODE_MAX, "
262
            echo "\tWB_DECODE_MED, PCI66, WB_CLK10, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
263
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL. "
264
 
265
            echo "-DEFINE REGRESSION" > ./defines.args
266
 
267
            echo "-DEFINE GUEST                  " >> ./defines.args
268
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
269
            echo "-DEFINE WB_DECODE_MEDIUM       " >> ./defines.args
270
            echo "-DEFINE PCI_DECODE_MAX         " >> ./defines.args
271
            echo "-DEFINE WB_DECODE_MED          " >> ./defines.args
272
            echo "-DEFINE PCI66                  " >> ./defines.args
273
            echo "-DEFINE WB_CLK10               " >> ./defines.args
274
            echo "-DEFINE ACTIVE_LOW_OE          " >> ./defines.args
275
            echo "-DEFINE REGISTER_WBM_OUTPUTS   " >> ./defines.args
276
            echo "-DEFINE REGISTER_WBS_OUTPUTS   " >> ./defines.args
277
            echo "-DEFINE ADDR_TRAN_IMPL         " >> ./defines.args
278
        endif
279
 
280
        if ($iter == 7) then
281
            echo "<<< Defines:"
282
 
283
            if ($arg_xilinx == 0) then
284
                echo "\tREGR_FIFO_SMALL_GENERIC, "
285
            else
286
                echo "\tREGR_FIFO_SMALL_XILINX, "
287
            endif
288
 
289
            echo "\tHOST, WB_DECODE_FAST, PCI_DECODE_MAX, "
290
            echo "\tWB_DECODE_MIN, PCI66, WB_CLK220, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
291
            echo "\tNO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE4. "
292
 
293
            echo "-DEFINE REGRESSION" > ./defines.args
294
 
295
            if ($arg_xilinx == 0) then
296
                echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
297
            else
298
                echo "-DEFINE REGR_FIFO_SMALL_XILINX" >> ./defines.args
299
            endif
300
 
301
            echo "-DEFINE HOST            " >> ./defines.args
302
            echo "-DEFINE WB_DECODE_FAST  " >> ./defines.args
303
            echo "-DEFINE PCI_DECODE_MAX  " >> ./defines.args
304
            echo "-DEFINE WB_DECODE_MIN   " >> ./defines.args
305
            echo "-DEFINE PCI66           " >> ./defines.args
306
            echo "-DEFINE WB_CLK220       " >> ./defines.args
307
            echo "-DEFINE ACTIVE_HIGH_OE  " >> ./defines.args
308
            echo "-DEFINE WB_CNF_BASE_ZERO" >> ./defines.args
309
            echo "-DEFINE NO_CNF_IMAGE    " >> ./defines.args
310
            echo "-DEFINE PCI_IMAGE0      " >> ./defines.args
311
            echo "-DEFINE PCI_IMAGE4      " >> ./defines.args
312
        endif
313
 
314
        if ($iter == 8) then
315
            echo "<<< Defines:"
316
            echo "\tHOST, REGR_FIFO_MEDIUM_GENERIC, WB_DECODE_MEDIUM, PCI_DECODE_MED, "
317
            echo "\tWB_DECODE_MED, PCI66, WB_CLK10, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
318
            echo "\tNO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE2, PCI_IMAGE3, PCI_IMAGE4, "
319
            echo "\tPCI_IMAGE5, WB_IMAGE2, WB_IMAGE3, WB_IMAGE4, WB_IMAGE5. "
320
 
321
            echo "-DEFINE REGRESSION" > ./defines.args
322
 
323
            echo "-DEFINE HOST                    " >> ./defines.args
324
            echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
325
            echo "-DEFINE WB_DECODE_MEDIUM        " >> ./defines.args
326
            echo "-DEFINE PCI_DECODE_MED          " >> ./defines.args
327
            echo "-DEFINE WB_DECODE_MED           " >> ./defines.args
328
            echo "-DEFINE PCI66                   " >> ./defines.args
329
            echo "-DEFINE WB_CLK10                " >> ./defines.args
330
            echo "-DEFINE ACTIVE_HIGH_OE          " >> ./defines.args
331
            echo "-DEFINE WB_CNF_BASE_ZERO        " >> ./defines.args
332
            echo "-DEFINE NO_CNF_IMAGE            " >> ./defines.args
333
            echo "-DEFINE PCI_IMAGE0              " >> ./defines.args
334
            echo "-DEFINE PCI_IMAGE2              " >> ./defines.args
335
            echo "-DEFINE PCI_IMAGE3              " >> ./defines.args
336
            echo "-DEFINE PCI_IMAGE4              " >> ./defines.args
337
            echo "-DEFINE PCI_IMAGE5              " >> ./defines.args
338
            echo "-DEFINE WB_IMAGE2               " >> ./defines.args
339
            echo "-DEFINE WB_IMAGE3               " >> ./defines.args
340
            echo "-DEFINE WB_IMAGE4               " >> ./defines.args
341
            echo "-DEFINE WB_IMAGE5               " >> ./defines.args
342
        endif
343
 
344
        if ($iter == 9) then
345
            echo "<<< Defines:"
346
            echo "\tHOST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MIN, "
347
            echo "\tWB_DECODE_MAX, PCI33, WB_CLK220, ACTIVE_HIGH_OE, ADDR_TRAN_IMPL, "
348
            echo "\tWB_CNF_BASE_ZERO, NO_CNF_IMAGE, WB_IMAGE3. "
349
 
350
            echo "-DEFINE REGRESSION" > ./defines.args
351
 
352
            echo "-DEFINE HOST                   " >> ./defines.args
353
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
354
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
355
            echo "-DEFINE PCI_DECODE_MIN         " >> ./defines.args
356
            echo "-DEFINE WB_DECODE_MAX          " >> ./defines.args
357
            echo "-DEFINE PCI33                  " >> ./defines.args
358
            echo "-DEFINE WB_CLK220              " >> ./defines.args
359
            echo "-DEFINE ACTIVE_HIGH_OE         " >> ./defines.args
360
            echo "-DEFINE ADDR_TRAN_IMPL         " >> ./defines.args
361
            echo "-DEFINE WB_CNF_BASE_ZERO       " >> ./defines.args
362
            echo "-DEFINE NO_CNF_IMAGE           " >> ./defines.args
363
            echo "-DEFINE WB_IMAGE3              " >> ./defines.args
364
        endif
365
 
366
        if ($iter == 10) then
367
            echo "<<< Defines:"
368
            echo "\tGUEST, REGR_FIFO_SMALL_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MED, "
369
            echo "\tWB_DECODE_MIN, PCI33, WB_CLK66, ACTIVE_HIGH_OE, ADDR_TRAN_IMPL, "
370
            echo "\tWB_CNF_BASE_ZERO, NO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE3. "
371
 
372
            echo "-DEFINE REGRESSION" > ./defines.args
373
 
374
            echo "-DEFINE GUEST                  " >> ./defines.args
375
            echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
376
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
377
            echo "-DEFINE PCI_DECODE_MED         " >> ./defines.args
378
            echo "-DEFINE WB_DECODE_MIN          " >> ./defines.args
379
            echo "-DEFINE PCI33                  " >> ./defines.args
380
            echo "-DEFINE WB_CLK66               " >> ./defines.args
381
            echo "-DEFINE ACTIVE_HIGH_OE         " >> ./defines.args
382
            echo "-DEFINE ADDR_TRAN_IMPL         " >> ./defines.args
383
            echo "-DEFINE WB_CNF_BASE_ZERO       " >> ./defines.args
384
            echo "-DEFINE NO_CNF_IMAGE           " >> ./defines.args
385
            echo "-DEFINE PCI_IMAGE0             " >> ./defines.args
386
            echo "-DEFINE PCI_IMAGE3             " >> ./defines.args
387
        endif
388
 
389
        if ($iter == 11) then
390
            echo "<<< Defines:"
391
 
392
            if ($arg_xilinx == 1) then
393
                echo "\tREGR_FIFO_MEDIUM_XILINX, "
394
            else if ($arg_artisan == 1) then
395
                echo "\tREGR_FIFO_MEDIUM_ARTISAN, "
396
            else
397
                echo "\tREGR_FIFO_MEDIUM_GENERIC, "
398
            endif
399
 
400
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MIN, "
401
            echo "\tWB_DECODE_MAX, PCI66, WB_CLK66, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
402
            echo "\tNO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE2, PCI_IMAGE3, PCI_IMAGE4, "
403
            echo "\tPCI_IMAGE5, WB_IMAGE2. "
404
 
405
            echo "-DEFINE REGRESSION" > ./defines.args
406
 
407
            if ($arg_xilinx == 1) then
408
                echo "-DEFINE REGR_FIFO_MEDIUM_XILINX" >> ./defines.args
409
            else if ($arg_artisan == 1) then
410
                        echo "-DEFINE REGR_FIFO_MEDIUM_ARTISAN" >> ./defines.args
411
            else
412
                echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
413
            endif
414
 
415
            echo "-DEFINE GUEST           " >> ./defines.args
416
            echo "-DEFINE WB_DECODE_FAST  " >> ./defines.args
417
            echo "-DEFINE PCI_DECODE_MIN  " >> ./defines.args
418
            echo "-DEFINE WB_DECODE_MAX   " >> ./defines.args
419
            echo "-DEFINE PCI66           " >> ./defines.args
420
            echo "-DEFINE WB_CLK66        " >> ./defines.args
421
            echo "-DEFINE ACTIVE_HIGH_OE  " >> ./defines.args
422
            echo "-DEFINE WB_CNF_BASE_ZERO" >> ./defines.args
423
            echo "-DEFINE NO_CNF_IMAGE    " >> ./defines.args
424
            echo "-DEFINE PCI_IMAGE0      " >> ./defines.args
425
            echo "-DEFINE PCI_IMAGE2      " >> ./defines.args
426
            echo "-DEFINE PCI_IMAGE3      " >> ./defines.args
427
            echo "-DEFINE PCI_IMAGE4      " >> ./defines.args
428
            echo "-DEFINE PCI_IMAGE5      " >> ./defines.args
429
            echo "-DEFINE WB_IMAGE2       " >> ./defines.args
430
        endif
431
 
432
        if ($iter == 12) then
433
            echo "<<< Defines:"
434
            echo "\tGUEST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_MEDIUM, PCI_DECODE_MAX, "
435
            echo "\tWB_DECODE_MED, PCI33, WB_CLK10, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
436
            echo "\tNO_CNF_IMAGE, WB_IMAGE2, WB_IMAGE3, WB_IMAGE4, WB_IMAGE5. "
437
 
438
            echo "-DEFINE REGRESSION" > ./defines.args
439
 
440
            echo "-DEFINE GUEST                  " >> ./defines.args
441
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
442
            echo "-DEFINE WB_DECODE_MEDIUM       " >> ./defines.args
443
            echo "-DEFINE PCI_DECODE_MAX         " >> ./defines.args
444
            echo "-DEFINE WB_DECODE_MED          " >> ./defines.args
445
            echo "-DEFINE PCI33                  " >> ./defines.args
446
            echo "-DEFINE WB_CLK10               " >> ./defines.args
447
            echo "-DEFINE ACTIVE_HIGH_OE         " >> ./defines.args
448
            echo "-DEFINE WB_CNF_BASE_ZERO       " >> ./defines.args
449
            echo "-DEFINE NO_CNF_IMAGE           " >> ./defines.args
450
            echo "-DEFINE WB_IMAGE2              " >> ./defines.args
451
            echo "-DEFINE WB_IMAGE3              " >> ./defines.args
452
            echo "-DEFINE WB_IMAGE4              " >> ./defines.args
453
            echo "-DEFINE WB_IMAGE5              " >> ./defines.args
454
 
455
        endif
456
 
457
        if ($iter == 13) then
458
 
459
            echo "<<< Defines:"
460
 
461
            if ($arg_xilinx == 0) then
462
                echo "\tREGR_FIFO_SMALL_GENERIC, "
463
            else
464
                echo "\tREGR_FIFO_SMALL_XILINX, "
465
            endif
466
 
467
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MAX, "
468
            echo "\tWB_DECODE_MED, PCI66, WB_CLOCK_FOLLOWS_PCI_CLOCK, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
469
            echo "\tNO_CNF_IMAGE "
470
 
471
            echo "-DEFINE REGRESSION" > ./defines.args
472
 
473
            if ($arg_xilinx == 0) then
474
                echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
475
            else
476
                echo "-DEFINE REGR_FIFO_SMALL_XILINX" >> ./defines.args
477
            endif
478
 
479
            echo "-DEFINE GUEST                       " >> ./defines.args
480
            echo "-DEFINE WB_DECODE_FAST              " >> ./defines.args
481
            echo "-DEFINE PCI_DECODE_MAX              " >> ./defines.args
482
            echo "-DEFINE WB_DECODE_MED               " >> ./defines.args
483
            echo "-DEFINE PCI66                       " >> ./defines.args
484
            echo "-DEFINE WB_CLK66                    " >> ./defines.args
485
            echo "-DEFINE ACTIVE_HIGH_OE              " >> ./defines.args
486
            echo "-DEFINE WB_CNF_BASE_ZERO            " >> ./defines.args
487
            echo "-DEFINE NO_CNF_IMAGE                " >> ./defines.args
488
            echo "-DEFINE WB_CLOCK_FOLLOWS_PCI_CLOCK=2" >> ./defines.args
489
 
490
        endif
491
 
492
        if ($iter == 14) then
493
 
494
            echo "<<< Defines:"
495
 
496
            echo "\tREGR_FIFO_SMALL_GENERIC, "
497
 
498
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MAX, "
499
            echo "\tWB_DECODE_MED, PCI66, WB_CLOCK_FOLLOWS_PCI_CLOCK, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
500
            echo "\tNO_CNF_IMAGE "
501
 
502
            echo "-DEFINE REGRESSION" > ./defines.args
503
 
504
            echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
505
 
506
            echo "-DEFINE GUEST                       " >> ./defines.args
507
            echo "-DEFINE WB_DECODE_FAST              " >> ./defines.args
508
            echo "-DEFINE PCI_DECODE_MAX              " >> ./defines.args
509
            echo "-DEFINE WB_DECODE_MED               " >> ./defines.args
510
            echo "-DEFINE PCI66                       " >> ./defines.args
511
            echo "-DEFINE WB_CLK66                    " >> ./defines.args
512
            echo "-DEFINE ACTIVE_HIGH_OE              " >> ./defines.args
513
            echo "-DEFINE WB_CNF_BASE_ZERO            " >> ./defines.args
514
            echo "-DEFINE NO_CNF_IMAGE                " >> ./defines.args
515
            echo "-DEFINE PCI_CLOCK_FOLLOWS_WB_CLOCK=2" >> ./defines.args
516
 
517
        endif
518
 
519
        if ($arg_dis_comp_exp_test) then
520 81 mihad
            echo "-DEFINE DISABLE_COMPLETION_EXPIRED_TESTS" >> ./defines.args
521 104 mihad
        endif
522
    endif
523 17 mihad
endif
524
 
525
 
526
# Run NC-Verilog compiler
527
echo ""
528
echo "\t@@@"
529
echo "\t@@@ Compiling sources"
530
echo "\t@@@"
531
 
532
# creating .args file for ncvlog and adding main parameters
533
echo "-cdslib ../bin/cds.lib" > ./ncvlog.args
534
echo "-hdlvar ../bin/hdl.var" >> ./ncvlog.args
535
echo "-logfile ../log/ncvlog.log" >> ./ncvlog.args
536
echo "-update" >> ./ncvlog.args
537
echo "-messages" >> ./ncvlog.args
538
echo "-INCDIR ../../../bench/verilog" >> ./ncvlog.args
539
echo "-INCDIR ../../../rtl/verilog" >> ./ncvlog.args
540
# adding defines to .args file
541 104 mihad
if (($arg_regression == 1) && ($iter <= $all_iterations)) then
542 17 mihad
    cat ./defines.args >> ./ncvlog.args
543
endif
544
# adding RTL and Sim files to .args file
545
cat ../bin/rtl_file_list.lst >> ./ncvlog.args
546
cat ../bin/sim_file_list.lst >> ./ncvlog.args
547
# adding device dependent files to .args file
548 60 mihad
if ($arg_xilinx == 1) then
549
    cat ../bin/xilinx_file_list.lst >> ./ncvlog.args
550
endif
551
 
552
if ($arg_artisan == 1) then
553
    cat ../bin/artisan_file_list.lst >> ./ncvlog.args
554
endif
555
 
556
if ($arg_vs_hdtp == 1) then
557
    cat ../bin/vs_file_list.lst >> ./ncvlog.args
558
endif
559
 
560 26 mihad
ncvlog -file ./ncvlog.args > /dev/null;
561 17 mihad
echo ""
562
 
563
 
564
# Run the NC-Verilog elaborator (build the design hierarchy)
565
echo ""
566
echo "\t@@@"
567
echo "\t@@@ Building design hierarchy (elaboration)"
568
echo "\t@@@"
569
if ($arg_xilinx == 1) then
570 26 mihad
      ncelab -file ../bin/ncelab_xilinx.args > /dev/null;
571 17 mihad
else
572 26 mihad
      ncelab -file ../bin/ncelab.args > /dev/null;
573 17 mihad
endif
574
echo ""
575
 
576
 
577
# Run the NC-Verilog simulator (simulate the design)
578
echo ""
579
echo "\t###"
580 26 mihad
echo "\t### Running tests (this takes a long time!)"
581 17 mihad
echo "\t###"
582 26 mihad
 
583
# creating ncsim.args file for ncsim and adding main parameters
584
echo "-cdslib ../bin/cds.lib" > ./ncsim.args
585
echo "-hdlvar ../bin/hdl.var" >> ./ncsim.args
586 92 mihad
echo "-licqueue" >> ./ncsim.args
587 26 mihad
echo "-logfile ../log/ncsim.log" >> ./ncsim.args
588
echo "-messages" >> ./ncsim.args
589 73 mihad
echo "-tcl" >> ./ncsim.args
590 26 mihad
if ($arg_waves == 1) then
591
  echo "-input ../bin/ncsim_waves.rc" >> ./ncsim.args
592
else
593
  echo "-input ../bin/ncsim.rc" >> ./ncsim.args
594
endif
595
echo "worklib.bridge32:fun" >> ./ncsim.args
596
 
597 45 mihad
ncsim -file ./ncsim.args #> /dev/null
598
 
599 17 mihad
if ($status != 0) then
600 26 mihad
  echo ""
601
  echo "TESTS couldn't start due to Errors!"
602
  echo ""
603 17 mihad
  exit
604
else
605 26 mihad
  grep -c "FAILED" ../log/pci_tb.log > ./result_fail.out
606
  grep -c "SUCCESSFULL" ../log/pci_tb.log > ./result_succ.out
607
 
608
  set subtest_failed = `tail -1 result_fail.out`;
609
  set sub_tests = `tail -1 result_succ.out`;
610
  @ sub_tests = $sub_tests + $subtest_failed;
611
 
612
  if ($subtest_failed != 0) then
613
    echo "\t### FAILED $subtest_failed out of $sub_tests testcases of $iter. iteration!"
614
    echo "\t###"
615
    @ iter_failed += 1;
616
    @ all_iters += 1;
617
  else
618
    echo "\t### Passed all $sub_tests testcases of $iter. iteration!"
619
    echo "\t###"
620
    @ all_iters += 1;
621
  endif
622
 
623 104 mihad
  if (($arg_regression == 1) && ($iter <= $all_iterations)) then
624 26 mihad
    if ($arg_waves == 1) then
625
      mv ../out/waves.shm ../out/i${iter}_waves.shm
626
    endif
627
    mv ../log/pci_mon.log ../log/i${iter}_pci_mon.log
628
    mv ../log/pci_tb.log ../log/i${iter}_pci_tb.log
629
    mv ../log/pciu_mon.log ../log/i${iter}_pciu_mon.log
630
    mv ../log/wbu_mon.log ../log/i${iter}_wbu_mon.log
631
    mv ../log/ncsim.log ../log/i${iter}_ncsim.log
632 104 mihad
    mv ../log/ncvlog.log ../log/i${iter}_ncvlog.log
633
    mv ../log/ncelab.log ../log/i${iter}_ncelab.log
634 26 mihad
  endif
635 17 mihad
endif
636
echo ""
637
 
638 26 mihad
@ test_failed = $test_failed + $subtest_failed;
639
@ all_tests = $all_tests + $sub_tests;
640 17 mihad
 
641
@ iter += 1;
642
 
643 26 mihad
if (($arg_regression == 1) && ($iter <= $all_iterations)) then
644 45 mihad
    rm -f ../bin/INCA_libs/worklib/*
645
    rm -f ../bin/INCA_libs/worklib/.*
646 26 mihad
    goto iteration
647 17 mihad
else
648 26 mihad
    rm ./defines.args
649
    echo ""
650
    echo "<<<"
651
    echo "<<< End of Regression Iterations"
652
    echo "<<<"
653
    echo "<<<"
654
    echo "<<< FAILED $iter_failed out of $all_iters iterations!"
655
    echo "<<<"
656
    echo "<<< FAILED $test_failed out of $all_tests testcases!"
657
    echo "<<<"
658
    echo "<<< -------------------------------------------------"
659
    echo "<<<"
660
    echo "<<< See following files for detailed test results:"
661
    echo "<<<   ../log/*pci_tb.log "
662
    echo "<<<   ../log/*pci_mon.log "
663
    echo "<<<   ../log/*pciu_mon.log "
664
    echo "<<<   ../log/*wbu_mon.log "
665
    echo "<<<   ../log/*ncsim.log "
666
    echo "<<<"
667 17 mihad
endif
668 63 mihad
exit
669 17 mihad
 
670 63 mihad
help:
671
        echo ""
672
        echo "Script arguments:"
673
        echo ""
674
        echo "regression  : run all implemented regression iterations on PCI Bridge Design"
675
        echo ""
676
        echo "xilinx      : if you want to run simulation with xilinx RAM primitives. You have to provide RAM16X1D.v, RAMB4_S16_S16.v and glbl.v simulation files."
677
        echo "            : you have to edit ../bin/xilinx_file_list.lst file with the correct paths to these files. Leave other paths as they are!"
678
        echo ""
679
        echo "artisan     : if you want to run simulation with artisan ASIC library vendor RAM primitives. You have to provide simulation models for these, edit ../bin/artisan_file_list.lst,"
680
        echo "            : and optionaly ../../../rtl/verilog/pci_user_constants.v, ../../../bench/verilog/pci_regression_constants.v, ../../../rtl/verilog/wb_tpram.v and ../../../rtl/verilog/pci_tpram.v"
681
        echo ""
682
        echo "waves       : if you want to dump all the signals in the testbench to the signalscan output file in ../out/ directory"
683
        echo ""
684
        echo "vs_two_port : if you want to run simulation with virtual silicon ASIC library vendor RAM primitives. You have to provide simulation models for these, edit ../bin/vs_file_list.lst,"
685
        echo "            : and optionaly ../../../rtl/verilog/pci_user_constants.v, ../../../bench/verilog/pci_regression_constants.v, ../../../rtl/verilog/wb_tpram.v and ../../../rtl/verilog/pci_tpram.v"
686
        echo ""
687
        echo "disable_completion_expired_tests : if you want to disable completion expiration testing during regression run, because these are time consuming tests"
688
        echo ""
689
exit
690
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.