OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [decoder.v] - Blame information for rev 74

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name: decoder.v                                        ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Tadej Markovic, tadej@opencores.org                   ////
10
////      - Tilen Novak, tilen@opencores.org                      ////
11
////                                                              ////
12
////  All additional information is avaliable in the README.txt   ////
13
////  file.                                                       ////
14
////                                                              ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2000 Tadej Markovic, tadej@opencores.org       ////
19
////                    Tilen Novak, tilen@opencores.org          ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 21 mihad
// Revision 1.2  2001/10/05 08:14:28  mihad
48
// Updated all files with inclusion of timescale file for simulation purposes.
49
//
50 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
51
// New project directory structure
52 2 mihad
//
53 6 mihad
//
54 2 mihad
 
55 21 mihad
`include "pci_constants.v"
56
 
57
// synopsys translate_off
58 6 mihad
`include "timescale.v"
59 21 mihad
// synopsys translate_on
60 2 mihad
 
61
module DECODER (hit, addr_out, addr_in, base_addr, mask_addr, tran_addr, at_en) ;
62
 
63
// Decoding address size parameter - for FPGAs 1MegByte is recommended
64
//   MAXIMUM is 20 (4KBytes), length 12 is 1 MByte !!!
65
parameter               decode_len     = 12 ;
66
 
67
//###########################################################################################################
68 21 mihad
// ALL COMMENTS are written as there were decode_len 20. This number and 12 (32 - 20) are assigning the
69 2 mihad
// numbers of decoded and compared bits, etc.
70
//###########################################################################################################
71
 
72
/*-----------------------------------------------------------------------------------------------------------
73 21 mihad
DECODER interface decodes input address (ADDR_IN); what means that it validates (HIT), if input address
74 2 mihad
falls within the defined image space boundaries. Image space boundarie is defined with image base address
75
register (BASE_ADDR) and address mask register (MASK_ADDR).
76
Beside that, it also translates (maps) the input address to the output address (ADDR_OUT), regarding the
77
translation address register (TRAN_ADDR) and the address mask register.
78
-----------------------------------------------------------------------------------------------------------*/
79
 
80 21 mihad
// output control
81 2 mihad
output  hit ;
82 21 mihad
// output address
83 2 mihad
output  [31:0]   addr_out ;
84
// input address
85
input   [31:0]   addr_in ;
86
 
87
// input registers - 12 LSbits are not valid since the smallest possible size is 4KB !
88
input   [31:(32-decode_len)]    base_addr ;
89
input   [31:(32-decode_len)]    mask_addr ;
90
input   [31:(32-decode_len)]    tran_addr ;
91
 
92
// input bit[2] of the Image Control register used to enable the address translation !
93
input   at_en ;
94
/*-----------------------------------------------------------------------------------------------------------
95
Internal signals !
96
-----------------------------------------------------------------------------------------------------------*/
97
 
98
// bit[31] if address mask register is IMAGE ENABLE bit (img_en)
99
wire    img_en ;
100
 
101
// addr_in_compare are masked input address bits that are compared with masked base_addr
102
wire    [31:(32-decode_len)]    addr_in_compare ;
103
// base_addr_compare are masked base address bits that are compared with masked addr_in
104
wire    [31:(32-decode_len)]    base_addr_compare ;
105
 
106
/*-----------------------------------------------------------------------------------------------------------
107
Decoding the input address!
108
This logic produces the loghest path in this module!
109
 
110
20 MSbits of input addres are as well as base address (20 bits) masked with corrected address mask. Only
111
masked bits of each vector are actually logically compared.
112
Bit[31] of address mask register is used to enable the image space !
113
-----------------------------------------------------------------------------------------------------------*/
114
 
115
assign addr_in_compare = (addr_in[31:(32-decode_len)] & mask_addr) ;
116
 
117
assign base_addr_compare = (base_addr & mask_addr) ;
118
 
119
assign img_en = mask_addr[31] ;
120
 
121
assign hit = { 1'b1, addr_in_compare } == { img_en, base_addr_compare } ;
122
 
123
/*-----------------------------------------------------------------------------------------------------------
124
Translating the input address!
125
 
126
Translation of input address is not implemented if ADDR_TRAN_IMPL is not defined
127
 
128
20 MSbits of input address are masked with negated value of the corrected address mask in order to get
129
address bits of the input address which won't be replaced with translation address bits.
130 21 mihad
Translation address bits (20 bits) are masked with corrected address mask. Only masked bits of vector are
131
actually valid, all others are zero.
132 2 mihad
Boath vectors are bit-wise ORed in order to get the valid translation address with an offset of an input
133
address.
134
12 LSbits of an input address are assigned to 12 LSbits of an output addres.
135
-----------------------------------------------------------------------------------------------------------*/
136
 
137
`ifdef ADDR_TRAN_IMPL
138
    // if Address Translation Enable bit is set, then translation address is used othervise input address is used!
139
    // addr_in_combine input address bits are not replaced with translation address!
140
    wire        [31:(32-decode_len)] addr_in_combine ;
141
    // tran_addr_combine are masked and combined with addr_in_combine!
142
    reg         [31:(32-decode_len)] tran_addr_combine ;
143
 
144
    assign addr_in_combine = (addr_in[31:(32-decode_len)] & ~mask_addr) ;
145
    always@(at_en or tran_addr or mask_addr or addr_in)
146
        begin
147 21 mihad
            if (at_en)
148 2 mihad
                        begin
149
                                tran_addr_combine <= (tran_addr & mask_addr) ;
150
                end
151
        else
152
                        begin
153
                                tran_addr_combine <= (addr_in[31:(32-decode_len)] & mask_addr) ;
154
                        end
155
        end
156
 
157
    assign addr_out[31:(32-decode_len)] = addr_in_combine | tran_addr_combine ;
158
    assign addr_out[(31-decode_len):0] = addr_in [(31-decode_len):0] ;
159
`else
160
    assign addr_out = addr_in ;
161
`endif
162
 
163
endmodule
164
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.