OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [out_reg.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "out_reg.v"                                       ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
46
// New project directory structure
47 2 mihad
//
48 6 mihad
//
49 2 mihad
 
50
`include "constants.v"
51 6 mihad
`include "timescale.v"
52 2 mihad
 
53
// module inferes a single IOB output block as known in FPGA architectures
54
// It provides data flip flop with clock enable and output enable flip flop with clock enable
55
// This is tested in Xilinx FPGA - active low output enable
56
// Check polarity of output enable flip flop for specific architecure.
57
module OUT_REG
58
(
59
    reset_in,
60
    clk_in,
61
    dat_en_in,
62
    en_en_in,
63
    dat_in,
64
    en_in,
65
    en_out,
66
    dat_out
67
);
68
 
69
input   reset_in,
70
        clk_in,
71
        dat_en_in,
72
        en_en_in,
73
        dat_in,
74
        en_in ;
75
 
76
output dat_out ;
77
output en_out ;
78
 
79
reg dat_out,
80
    en_out ;
81
 
82
wire en_n = ~en_in ;
83
 
84
always@(posedge reset_in or posedge clk_in)
85
begin
86
    if ( reset_in )
87
        dat_out <= #`FF_DELAY 1'b0 ;
88
    else if ( dat_en_in )
89
        dat_out <= #`FF_DELAY dat_in ;
90
end
91
 
92
always@(posedge reset_in or posedge clk_in)
93
begin
94
    if ( reset_in )
95
        en_out <= #`FF_DELAY 1'b1 ;
96
    else if ( en_en_in )
97
        en_out <= #`FF_DELAY en_n ;
98
end
99
 
100
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.