OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [pci_target32_sm.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name: pci_target32_sm.v                                ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Tadej Markovic, tadej@opencores.org                   ////
10
////                                                              ////
11
////  All additional information is avaliable in the README.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2000 Tadej Markovic, tadej@opencores.org       ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45
//
46
 
47
`define FSM_BITS 2 // number of bits needed for FSM states
48
 
49
 
50
`include "bus_commands.v"
51
`include "constants.v"
52
 
53
module PCI_TARGET32_SM
54
(
55
    // system inputs
56
    clk_in,
57
    reset_in,
58
    // master inputs
59
    pci_frame_in,
60
    pci_irdy_in,
61
    pci_idsel_in,
62
    pci_frame_reg_in,
63
    pci_irdy_reg_in,
64
    pci_idsel_reg_in,
65
    // target response outputs
66
    pci_trdy_out,
67
    pci_stop_out,
68
    pci_devsel_out,
69
    pci_trdy_en_out,
70
    pci_stop_en_out,
71
    pci_devsel_en_out,
72
    pci_target_load_out,
73
    // address, data, bus command, byte enable in/outs
74
    pci_ad_reg_in,
75
    pci_ad_out,
76
    pci_ad_en_out,
77
    pci_cbe_reg_in,
78
    bckp_trdy_en_in,
79
    bckp_devsel_in,
80
    bckp_trdy_in,
81
    bckp_stop_in,
82
 
83
    // backend side of state machine with control signals to pci_io_mux ...
84
    address_out,
85
    addr_claim_in,
86
    bc_out,
87
    bc0_out,
88
    data_out,
89
    data_in,
90
    be_out,
91
    req_out,
92
    rdy_out,
93
    addr_phase_out,
94
    bckp_trdy_out,
95
    last_reg_out,
96
    frame_reg_out,
97
    fetch_pcir_fifo_out,
98
    load_medium_reg_out,
99
    sel_fifo_mreg_out,
100
    sel_conf_fifo_out,
101
    fetch_conf_out,
102
    load_to_pciw_fifo_out,
103
    load_to_conf_out,
104
        same_read_in,
105
        norm_access_to_config_in,
106
        read_completed_in,
107
        read_processing_in,
108
        target_abort_in,
109
        disconect_wo_data_in,
110
        target_abort_set_out,
111
        pciw_fifo_full_in,
112
        pcir_fifo_data_err_in,
113
        wbw_fifo_empty_in,
114
        wbu_frame_en_in
115
 
116
) ;
117
 
118
/*----------------------------------------------------------------------------------------------------------------------
119
Various parameters needed for state machine and other stuff
120
----------------------------------------------------------------------------------------------------------------------*/
121
parameter               S_IDLE                  = `FSM_BITS'h0 ;
122
parameter               S_WAIT                  = `FSM_BITS'h1 ;
123
parameter               S_TRANSFERE             = `FSM_BITS'h2 ;
124
parameter               S_BACKOFF               = `FSM_BITS'h3 ;
125
 
126
 
127
/*==================================================================================================================
128
System inputs.
129
==================================================================================================================*/
130
// PCI side clock and reset
131
input   clk_in,
132
        reset_in ;
133
 
134
 
135
/*==================================================================================================================
136
PCI interface signals - bidirectional signals are divided to inputs and outputs in I/O cells instantiation
137
module. Enables are separate signals.
138
==================================================================================================================*/
139
// master inputs
140
input   pci_frame_in,
141
                pci_irdy_in,
142
                pci_idsel_in ;
143
input   pci_frame_reg_in,
144
                pci_irdy_reg_in,
145
                pci_idsel_reg_in ;
146
 
147
// target response outputs
148
output  pci_trdy_out,
149
        pci_stop_out,
150
        pci_devsel_out ;
151
output  pci_trdy_en_out,
152
        pci_stop_en_out,
153
        pci_devsel_en_out ;
154
output  pci_target_load_out ;
155
 
156
// address, data, bus command, byte enable in/outs
157
input   [31:0]  pci_ad_reg_in ;
158
output  [31:0]  pci_ad_out ;
159
output          pci_ad_en_out ;
160
input   [3:0]   pci_cbe_reg_in ;
161
input                   bckp_trdy_en_in ;
162
input                   bckp_devsel_in ;
163
input                   bckp_trdy_in ;
164
input                   bckp_stop_in ;
165
 
166
 
167
/*==================================================================================================================
168
Other side of PCI Target state machine
169
==================================================================================================================*/
170
// Data, byte enables, bus commands and address ports
171
output  [31:0]  address_out ;            // current request address output - registered
172
input           addr_claim_in ;         // current request address claim input
173
output  [3:0]   bc_out ;                 // current request bus command output - registered
174
output                  bc0_out ;                       // current cycle RW signal output
175
input   [31:0]  data_in ;                // for read operations - current dataphase data input
176
output  [31:0]  data_out ;               // for write operations - current request data output - registered
177
output   [3:0]  be_out ;                 // current dataphase byte enable outputs - registered
178
// Port connection control signals from PCI FSM
179
output          req_out ;               // Read is requested to WB master
180
output          rdy_out ;               // DATA / ADDRESS selection when read or write - registered
181
output                  addr_phase_out ;        // Indicates address phase and also fast-back-to-back address phase - registered    
182
output                  bckp_trdy_out ;         // TRDY output (which is registered) equivalent                                     
183
output                  last_reg_out ;          // Indicates last data phase - registered                                           
184
output                  frame_reg_out ;         // FRAME output signal - registered                                                  
185
output              fetch_pcir_fifo_out ;// Read enable for PCIR_FIFO when when read is finishen on WB side                   
186
output              load_medium_reg_out ;// Load data from PCIR_FIFO to medium register (first data must be prepared on time) 
187
output              sel_fifo_mreg_out ; // Read data selection between PCIR_FIFO and medium register                        
188
output              sel_conf_fifo_out ; // Read data selection between Configuration registers and "FIFO"                   
189
output              fetch_conf_out ;    // Read enable for configuration space registers                                    
190
output              load_to_pciw_fifo_out ;// Write enable to PCIW_FIFO 
191
output              load_to_conf_out ;  // Write enable to Configuration space registers                                    
192
 
193
 
194
/*==================================================================================================================
195
Status
196
==================================================================================================================*/
197
input                   same_read_in ;                          // Indicates the same read request (important when read is finished on WB side)
198
input                   norm_access_to_config_in ;      // Indicates the access to Configuration space with MEMORY commands            
199
input                   read_completed_in ;                     // Indicates that read request is completed on WB side                         
200
input                   read_processing_in ;            // Indicates that read request is processing on WB side                        
201
input                   target_abort_in ;                       // Indicates target abort termination                                          
202
input                   disconect_wo_data_in ;          // Indicates disconnect with OR without data termination                       
203
input                   pciw_fifo_full_in ;                     // Indicates that write PCIW_FIFO is full                                      
204
input                   pcir_fifo_data_err_in ;         // Indicates data error on current data read from PCIR_FIFO                    
205
input                   wbw_fifo_empty_in ;                     // Indicates that WB SLAVE UNIT has no data to be written to PCI bus                
206
input                   wbu_frame_en_in ;                       // Indicates that WB SLAVE UNIT is accessing the PCI bus (important if 
207
                                                                                        //   address on PCI bus is also claimed by decoder in this PCI TARGET UNIT
208
output                  target_abort_set_out ;          // Signal used to be set in configuration space registers
209
 
210
/*==================================================================================================================
211
END of input / output PORT DEFINITONS !!!
212
==================================================================================================================*/
213
 
214
// Delayed frame signal for determining the address phase
215
reg                             previous_frame ;
216
// Delayed read completed signal for preparing the data from pcir fifo
217
reg                             read_completed_reg ;
218
// Delayed disconnect with/without data for stop loading data to PCIW_FIFO 
219
reg                             disconect_wo_data_reg ;
220
 
221
// Delayed frame signal for determining the address phase!
222
always@(posedge clk_in or posedge reset_in)
223
begin
224
    if (reset_in)
225
        begin
226
        previous_frame <= 1'b1 ;
227
        read_completed_reg <= 1'b0 ;
228
        disconect_wo_data_reg <= 1'b0 ;
229
        end
230
    else
231
        begin
232
        previous_frame <= pci_frame_reg_in ;
233
        read_completed_reg <= read_completed_in ;
234
        disconect_wo_data_reg <= disconect_wo_data_in ;
235
        end
236
end
237
 
238
// Address phase is when previous frame was 1 and this frame is 0 and frame isn't generated from pci master (in WBU)
239
wire    addr_phase = (previous_frame && ~pci_frame_reg_in && ~wbu_frame_en_in) ;
240
 
241
// Wire tells when there is configuration (read or write) command with IDSEL signal active
242
wire    config_access = ((pci_idsel_reg_in && pci_cbe_reg_in[3]) && (~pci_cbe_reg_in[2] && pci_cbe_reg_in[1])) ;
243
 
244
// Signal for loadin data to medium register from pcir fifo when read completed from WB side!
245
wire    prepare_rd_fifo_data = (read_completed_in && ~read_completed_reg) ;
246
 
247
// Write and read progresses are used for determining next state
248
wire    write_progress  =       (
249
                                                        (norm_access_to_config_in) || (read_completed_in && ~pciw_fifo_full_in) ||
250
                                                        (~read_processing_in && ~pciw_fifo_full_in)
251
                                                        ) ;
252
wire    read_progress   =       ((~read_completed_in && norm_access_to_config_in) || (read_completed_in && wbw_fifo_empty_in)) ;
253
 
254
// Write allowed to PCIW_FIFO
255
wire    write_to_fifo   =       ((read_completed_in && ~pciw_fifo_full_in) || (~read_processing_in && ~pciw_fifo_full_in)) ;
256
// Read allowed from PCIR_FIFO
257
wire    read_from_fifo  =       (read_completed_in && wbw_fifo_empty_in) ;
258
// Read request is allowed to be proceed regarding the WB side
259
wire    read_request    =       (~read_completed_in && ~read_processing_in && ~norm_access_to_config_in) ;
260
 
261
// Critically calculated signals are latched in this clock period (address phase) to be used in the next clock period
262
reg                             rw_cbe0 ;
263
reg                             wr_progress ;
264
reg                             rd_progress ;
265
reg                             rd_from_fifo ;
266
reg                             rd_request ;
267
reg                             wr_to_fifo ;
268
reg                             norm_access_to_conf_reg ;
269
reg                             same_read_reg ;
270
reg                             cnf_progress ;
271
reg                             addr_claim_reg ;
272
 
273
always@(posedge clk_in or posedge reset_in)
274
begin
275
    if (reset_in)
276
        begin
277
                rw_cbe0                                                 <= 1'b0 ;
278
                wr_progress                                             <= 1'b0 ;
279
                rd_progress                                             <= 1'b0 ;
280
                rd_from_fifo                                    <= 1'b0 ;
281
                rd_request                                              <= 1'b0 ;
282
                wr_to_fifo                                              <= 1'b0 ;
283
                norm_access_to_conf_reg                 <= 1'b0 ;
284
                same_read_reg                                   <= 1'b0 ;
285
                cnf_progress                                    <= 1'b0 ;
286
                addr_claim_reg                                  <= 1'b0 ;
287
        end
288
        else
289
        begin
290
                if (addr_phase)
291
                begin
292
                        rw_cbe0                                         <= pci_cbe_reg_in[0] ;
293
                        wr_progress                                     <= write_progress ;
294
                        rd_progress                                     <= read_progress ;
295
                        rd_from_fifo                            <= read_from_fifo ;
296
                        rd_request                                      <= read_request ;
297
                        wr_to_fifo                                      <= write_to_fifo ;
298
                        norm_access_to_conf_reg         <= norm_access_to_config_in ;
299
                        same_read_reg                           <= same_read_in ;
300
                        cnf_progress                            <= config_access ;
301
                        addr_claim_reg                          <= addr_claim_in ;
302
                end
303
        end
304
end
305
 
306
// Signal used in S_WAIT state to determin next state
307
wire s_wait_progress =  (
308
                                                (~cnf_progress && rw_cbe0 && wr_progress && ~target_abort_in) ||
309
                                                (~cnf_progress && ~rw_cbe0 && same_read_reg && rd_progress && ~target_abort_in) ||
310
                                                (~cnf_progress && ~rw_cbe0 && ~same_read_reg && norm_access_to_conf_reg && ~target_abort_in) ||
311
                                                (cnf_progress && ~target_abort_in)
312
                                                ) ;
313
 
314
// Signal used in S_TRANSFERE state to determin next state
315
wire s_tran_progress =  (
316
                                                (rw_cbe0 && ~disconect_wo_data_in) ||
317
                                                (~rw_cbe0 && ~disconect_wo_data_in && ~target_abort_in && ~pcir_fifo_data_err_in)
318
                                                ) ;
319
 
320
// Clock enable for PCI state machine driven directly from critical inputs - FRAME and IRDY
321
wire                    pcit_sm_clk_en ;
322
// FSM states signals indicating the current state
323
reg                     state_idle ;
324
reg                     state_wait ;
325
reg                     state_transfere ;
326
reg                     state_backoff ;
327
reg                     state_default ;
328
// Clock enable module used for preserving the architecture because of minimum delay for critical inputs
329
PCI_TARGET32_CLK_EN                     pci_target_clock_en
330
(
331
    .addr_phase                         (addr_phase),
332
    .config_access                      (config_access),
333
    .addr_claim_in                      (addr_claim_in),
334
    .disconect_wo_data_in       (disconect_wo_data_in),
335
    .pcir_fifo_data_err_in      (pcir_fifo_data_err_in),
336
    .rw_cbe0                            (rw_cbe0),
337
    .pci_frame_in                       (pci_frame_in),
338
    .pci_irdy_in                        (pci_irdy_in),
339
    .state_wait                         (state_wait),
340
    .state_transfere            (state_transfere),
341
    .state_backoff                      (state_backoff),
342
    .state_default                      (state_default),
343
    .clk_enable                         (pcit_sm_clk_en)
344
);
345
 
346
reg [(`FSM_BITS - 1):0]  c_state ; //current state register
347
reg [(`FSM_BITS - 1):0]  n_state ; //next state input to current state register
348
 
349
// state machine register control
350
always@(posedge clk_in or posedge reset_in)
351
begin
352
    if (reset_in) // reset state machine to S_IDLE state
353
        c_state <= #`FF_DELAY S_IDLE ;
354
    else
355
        if (pcit_sm_clk_en) // if conditions are true, then FSM goes to next state!
356
            c_state <= #`FF_DELAY n_state ;
357
end
358
 
359
// state machine logic
360
always@(c_state or
361
                s_wait_progress or
362
                s_tran_progress
363
                )
364
begin
365
        case (c_state)
366
        S_IDLE :
367
        begin
368
                state_idle              <= 1'b1 ;
369
                state_wait              <= 1'b0 ;
370
                state_transfere <= 1'b0 ;
371
                state_backoff   <= 1'b0 ;
372
                state_default   <= 1'b0 ;
373
                n_state <= S_WAIT ;
374
        end
375
        S_WAIT :
376
        begin
377
                state_idle              <= 1'b0 ;
378
                state_wait              <= 1'b1 ;
379
                state_transfere <= 1'b0 ;
380
                state_backoff   <= 1'b0 ;
381
                state_default   <= 1'b0 ;
382
                if (s_wait_progress)
383
                        n_state <= S_TRANSFERE ;
384
                else
385
                        n_state <= S_BACKOFF ;
386
        end
387
        S_TRANSFERE :
388
        begin
389
                state_idle              <= 1'b0 ;
390
                state_wait              <= 1'b0 ;
391
                state_transfere <= 1'b1 ;
392
                state_backoff   <= 1'b0 ;
393
                state_default   <= 1'b0 ;
394
                if (s_tran_progress)
395
                        n_state <= S_IDLE ;
396
                else
397
                        n_state <= S_BACKOFF ;
398
        end
399
        S_BACKOFF :
400
        begin
401
                state_idle              <= 1'b0 ;
402
                state_wait              <= 1'b0 ;
403
                state_transfere <= 1'b0 ;
404
                state_backoff   <= 1'b1 ;
405
                state_default   <= 1'b0 ;
406
                n_state <= S_IDLE ;
407
        end
408
        default :
409
        begin
410
                state_idle              <= 1'b0 ;
411
                state_wait              <= 1'b0 ;
412
                state_transfere <= 1'b0 ;
413
                state_backoff   <= 1'b0 ;
414
                state_default   <= 1'b1 ;
415
                n_state <= S_IDLE ;
416
        end
417
        endcase
418
end
419
 
420
        // if not retry and not target abort
421
        // NO CRITICAL SIGNALS
422
wire    trdy_w                  =       (
423
                (state_wait && ~cnf_progress && rw_cbe0 && wr_progress && ~target_abort_in) ||
424
        (state_wait && ~cnf_progress && ~rw_cbe0 && same_read_reg && rd_progress && ~target_abort_in) ||
425
        (state_wait && ~cnf_progress && ~rw_cbe0 && ~same_read_reg && norm_access_to_conf_reg && ~target_abort_in) ||
426
        (state_wait && cnf_progress && ~target_abort_in)
427
                                                ) ;
428
        // if not disconnect without data and not target abort (only during reads)
429
        // MUST BE ANDED WITH CRITICAL ~FRAME
430
wire    trdy_w_frm              =       (
431
        (state_transfere && ~disconect_wo_data_in) ||
432
        (state_transfere && disconect_wo_data_in && pci_irdy_reg_in) ||
433
        (state_transfere && ~rw_cbe0 && ~pcir_fifo_data_err_in)
434
                                                ) ;
435
        // if not disconnect without data and not target abort (only during reads)
436
        // MUST BE ANDED WITH CRITICAL ~FRAME AND IRDY
437
wire    trdy_w_frm_irdy =       (
438
        (state_transfere && disconect_wo_data_in) ||
439
        (state_transfere && ~rw_cbe0 && pcir_fifo_data_err_in) ||
440
                (state_backoff && ~bckp_trdy_in)
441
                                                        ) ;
442
// TRDY critical module used for preserving the architecture because of minimum delay for critical inputs
443
PCI_TARGET32_TRDY_CRIT          pci_target_trdy_critical
444
(
445
    .trdy_w                                     (trdy_w),
446
    .trdy_w_frm                         (trdy_w_frm),
447
    .trdy_w_frm_irdy            (trdy_w_frm_irdy),
448
    .pci_frame_in                       (pci_frame_in),
449
    .pci_irdy_in                        (pci_irdy_in),
450
    .pci_trdy_out                       (pci_trdy_out)
451
);
452
 
453
                // if target abort or retry
454
        // NO CRITICAL SIGNALS
455
wire    stop_w                  =       (
456
                (state_wait && target_abort_in) ||
457
                (state_wait && ~cnf_progress && rw_cbe0 && ~wr_progress) ||
458
                (state_wait && ~cnf_progress && ~rw_cbe0 && same_read_reg && ~rd_progress) ||
459
                (state_wait && ~cnf_progress && ~rw_cbe0 && ~same_read_reg && ~norm_access_to_conf_reg)
460
                                                        ) ;
461
                // if asserted, wait for deactivating the frame
462
        // MUST BE ANDED WITH CRITICAL ~FRAME
463
wire    stop_w_frm              =       (
464
                (state_backoff && ~bckp_stop_in)
465
                                                        ) ;
466
                // if target abort or if disconnect without data (after data transfere)
467
        // MUST BE ANDED WITH CRITICAL ~FRAME AND ~IRDY
468
wire    stop_w_frm_irdy =       (
469
                (state_transfere && disconect_wo_data_in) ||
470
                (state_transfere && ~rw_cbe0 && pcir_fifo_data_err_in)
471
                                                        ) ;
472
// STOP critical module used for preserving the architecture because of minimum delay for critical inputs
473
PCI_TARGET32_STOP_CRIT          pci_target_stop_critical
474
(
475
    .stop_w                                     (stop_w),
476
    .stop_w_frm                         (stop_w_frm),
477
    .stop_w_frm_irdy            (stop_w_frm_irdy),
478
    .pci_frame_in                       (pci_frame_in),
479
    .pci_irdy_in                        (pci_irdy_in),
480
    .pci_stop_out                       (pci_stop_out)
481
);
482
 
483
                // if OK to respond and not target abort 
484
        // NO CRITICAL SIGNALS
485
wire    devs_w                  =       (
486
                (addr_phase && config_access) ||
487
                (addr_phase && ~config_access && addr_claim_in) ||
488
                (state_wait && ~target_abort_in)
489
                                                        ) ;
490
                // if not target abort (only during reads) or if asserted, wait for deactivating the frame
491
        // MUST BE ANDED WITH CRITICAL ~FRAME
492
wire    devs_w_frm              =       (
493
                (state_transfere && rw_cbe0) ||
494
                (state_transfere && ~rw_cbe0 && ~pcir_fifo_data_err_in) ||
495
                (state_backoff && ~bckp_devsel_in)
496
                                                        ) ;
497
                // if not target abort (only during reads)
498
        // MUST BE ANDED WITH CRITICAL ~FRAME AND IRDY
499
wire    devs_w_frm_irdy =       (
500
                (state_transfere && ~rw_cbe0 && pcir_fifo_data_err_in)
501
                                                        ) ;
502
// DEVSEL critical module used for preserving the architecture because of minimum delay for critical inputs
503
PCI_TARGET32_DEVS_CRIT          pci_target_devsel_critical
504
(
505
    .devs_w                                     (devs_w),
506
    .devs_w_frm                         (devs_w_frm),
507
    .devs_w_frm_irdy            (devs_w_frm_irdy),
508
    .pci_frame_in                       (pci_frame_in),
509
    .pci_irdy_in                        (pci_irdy_in),
510
    .pci_devsel_out                     (pci_devsel_out)
511
);
512
 
513
                // if address is claimed when read
514
        // NO CRITICAL SIGNALS
515
wire    ad_en_w                 =       (
516
                (addr_phase && config_access && ~pci_cbe_reg_in[0]) ||
517
        (addr_phase && ~config_access && addr_claim_in && ~pci_cbe_reg_in[0]) ||
518
        (state_wait && ~rw_cbe0)
519
                                                ) ;
520
        // if read
521
        // MUST BE ANDED WITH CRITICAL ~FRAME
522
wire    ad_en_w_frm             =       (
523
        (state_transfere && ~rw_cbe0) ||
524
        (state_backoff && ~rw_cbe0)
525
                                                ) ;
526
// AD enable module used for preserving the architecture because of minimum delay for critical inputs
527
PCI_TARGET32_AD_EN_CRIT         pci_target_ad_enable_critical
528
(
529
    .ad_en_w                            (ad_en_w),
530
    .ad_en_w_frm                        (ad_en_w_frm),
531
    .pci_frame_in                       (pci_frame_in),
532
    .pci_ad_en_out                      (pci_ad_en_out)
533
);
534
 
535
wire fast_back_to_back  =       (addr_phase && ~pci_irdy_reg_in) ;
536
 
537
                // if cycle will progress or will not be stopped
538
        // NO CRITICAL SIGNALS
539
wire    ctrl_en_w               =       (
540
                (~wbu_frame_en_in && fast_back_to_back) ||
541
        (addr_phase && config_access) ||
542
        (addr_phase && ~config_access && addr_claim_in) ||
543
                (state_wait) ||
544
                (state_transfere && ~pci_frame_reg_in) ||
545
                (state_backoff && ~pci_frame_reg_in)
546
                                                ) ;
547
                // if cycle is progressing
548
        // MUST BE ANDED WITH CRITICAL ~IRDY
549
wire    ctrl_en_w_irdy  =       (
550
                (state_transfere) ||
551
                (state_backoff)
552
                                                ) ;
553
// Clock enable module used for preserving the architecture because of minimum delay for critical inputs
554
PCI_TARGET32_CTRL_EN_CRIT               pci_target_control_enable_critical
555
(
556
    .ctrl_en_w                          (ctrl_en_w),
557
    .ctrl_en_w_irdy                     (ctrl_en_w_irdy),
558
    .pci_irdy_in                        (pci_irdy_in),
559
    .pci_trdy_en_out            (pci_trdy_en_out),
560
    .pci_stop_en_out            (pci_stop_en_out),
561
    .pci_devsel_en_out          (pci_devsel_en_out)
562
);
563
 
564
// target ready output signal delayed for one clock used in conjunction with irdy_reg to select which
565
//   data are registered in io mux module - from fifo or medoum register
566
reg                             bckp_trdy_reg ;
567
// delayed indicators for states transfere and backoff
568
reg                             state_transfere_reg ;
569
reg                             state_backoff_reg ;
570
always@(posedge clk_in or posedge reset_in)
571
begin
572
    if (reset_in)
573
    begin
574
                bckp_trdy_reg <= 1'b1 ;
575
                state_transfere_reg <= 1'b0 ;
576
                state_backoff_reg <= 1'b0 ;
577
        end
578
        else
579
        begin
580
                bckp_trdy_reg <= bckp_trdy_in ;
581
                state_transfere_reg <= state_transfere ;
582
                state_backoff_reg <= state_backoff ;
583
        end
584
end
585
 
586
// Read control signals assignments
587
assign
588
        fetch_pcir_fifo_out =   (
589
                (prepare_rd_fifo_data) ||
590
                (state_wait && ~cnf_progress && ~rw_cbe0 && same_read_reg && rd_from_fifo && ~target_abort_in) ||
591
                (bckp_trdy_en_in && ~bckp_trdy_reg && ~cnf_progress && ~rw_cbe0 && same_read_reg && rd_from_fifo && ~pci_irdy_reg_in)
592
                                                        ) ;
593
 
594
        // NO CRITICAL SIGNALS
595
wire    tar_load_out_w          =       (state_wait) ;
596
        // MUST BE ANDED WITH CRITICAL ~IRDY
597
wire    tar_load_out_w_irdy     =       (bckp_trdy_en_in && ~rw_cbe0) ;
598
        // NO CRITICAL SIGNALS
599
wire    load_med_reg_w          =       (
600
                (prepare_rd_fifo_data) ||
601
                (state_wait && ~cnf_progress && ~rw_cbe0 && same_read_reg && rd_from_fifo && ~target_abort_in)
602
                                                                ) ;
603
        // MUST BE ANDED WITH CRITICAL ~IRDY
604
wire    load_med_reg_w_irdy     =
605
                (bckp_trdy_en_in && ~bckp_trdy_in && ~cnf_progress && ~rw_cbe0 && same_read_reg && rd_from_fifo) ;
606
// Clock enable module used for preserving the architecture because of minimum delay for critical inputs
607
PCI_TARGET32_LOAD_CRIT                  pci_target_load_critical
608
(
609
    .tar_load_out_w                     (tar_load_out_w),
610
    .tar_load_out_w_irdy        (tar_load_out_w_irdy),
611
    .load_med_reg_w                     (load_med_reg_w),
612
    .load_med_reg_w_irdy        (load_med_reg_w_irdy),
613
    .pci_irdy_in                        (pci_irdy_in),
614
    .pci_target_load_out        (pci_target_load_out),
615
    .load_medium_reg_out        (load_medium_reg_out)
616
);
617
 
618
assign  sel_fifo_mreg_out = (~pci_irdy_reg_in && ~bckp_trdy_reg) ;
619
 
620
assign  sel_conf_fifo_out = (cnf_progress || norm_access_to_conf_reg) ;
621
 
622
assign  fetch_conf_out = ((cnf_progress || norm_access_to_conf_reg) && ~rw_cbe0 && ~bckp_devsel_in) ;
623
 
624
// Write control signals assignments
625
assign
626
        load_to_pciw_fifo_out = (
627
                (state_wait && (~cnf_progress && ~norm_access_to_conf_reg) && rw_cbe0 && wr_to_fifo && ~target_abort_in) ||
628
                (state_transfere_reg && ~state_backoff && rw_cbe0 && wr_to_fifo && ~disconect_wo_data_reg && ~pci_irdy_reg_in && ~bckp_trdy_reg && (~cnf_progress && ~norm_access_to_conf_reg)) ||
629
                ((state_backoff || state_backoff_reg) && rw_cbe0 && wr_to_fifo && ~pci_irdy_reg_in && ~bckp_trdy_reg && (~cnf_progress && ~norm_access_to_conf_reg))
630
                                                        ) ;
631
 
632
assign  load_to_conf_out =      (
633
                        (state_transfere_reg && cnf_progress && rw_cbe0 && ~pci_irdy_reg_in && ~bckp_trdy_reg) ||
634
                        (state_transfere_reg && norm_access_to_conf_reg && rw_cbe0 && ~pci_irdy_reg_in && ~bckp_trdy_reg)
635
                                                        ) ;
636
 
637
// General control sigal assignments
638
assign  addr_phase_out = addr_phase ;
639
assign  last_reg_out = (pci_frame_reg_in && ~pci_irdy_reg_in) ;
640
assign  frame_reg_out = pci_frame_reg_in ;
641
assign  bckp_trdy_out = bckp_trdy_in ;
642
assign  target_abort_set_out = (bckp_devsel_in && bckp_trdy_in && ~bckp_stop_in) ;
643
// request signal for delayed sinc. module
644
assign  req_out = (state_wait && ~cnf_progress && ~norm_access_to_conf_reg && ~rw_cbe0 && rd_request && ~target_abort_in) ;
645
// ready tells when address or data are written into fifo - RDY ? DATA : ADDRESS
646
assign  rdy_out = ~bckp_trdy_reg ;
647
 
648
// data and address outputs assignments!
649
assign  pci_ad_out = data_in ;
650
 
651
assign  data_out = pci_ad_reg_in ;
652
assign  be_out = pci_cbe_reg_in ;
653
assign  address_out = pci_ad_reg_in ;
654
assign  bc_out = pci_cbe_reg_in ;
655
assign  bc0_out = rw_cbe0 ;
656
 
657
 
658
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.