OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [pci_target_unit.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name: pci_target_unit.v                                ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Tadej Markovic, tadej@opencores.org                   ////
10
////                                                              ////
11
////  All additional information is avaliable in the README.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2000 Tadej Markovic, tadej@opencores.org       ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 68 tadejm
// Revision 1.9  2002/10/17 22:51:08  tadejm
46
// Changed BIST signals for RAMs.
47
//
48 67 tadejm
// Revision 1.8  2002/10/11 10:09:01  mihad
49
// Added additional testcase and changed rst name in BIST to trst
50
//
51 63 mihad
// Revision 1.7  2002/10/08 17:17:05  mihad
52
// Added BIST signals for RAMs.
53
//
54 62 mihad
// Revision 1.6  2002/09/25 15:53:52  mihad
55
// Removed all logic from asynchronous reset network
56
//
57 58 mihad
// Revision 1.5  2002/03/05 11:53:47  mihad
58
// Added some testcases, removed un-needed fifo signals
59
//
60 33 mihad
// Revision 1.4  2002/02/19 16:32:37  mihad
61
// Modified testbench and fixed some bugs
62
//
63 26 mihad
// Revision 1.3  2002/02/01 15:25:13  mihad
64
// Repaired a few bugs, updated specification, added test bench files and design document
65
//
66 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
67
// Updated all files with inclusion of timescale file for simulation purposes.
68
//
69 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
70
// New project directory structure
71 2 mihad
//
72 6 mihad
//
73 2 mihad
 
74
// Module instantiates and connects other modules lower in hierarcy
75
// PCI target unit consists of modules that together form datapath
76
// between external WISHBONE slaves and external PCI initiators
77 21 mihad
`include "pci_constants.v"
78
 
79
// synopsys translate_off
80 6 mihad
`include "timescale.v"
81 21 mihad
// synopsys translate_on
82 2 mihad
 
83
module PCI_TARGET_UNIT
84
(
85
    reset_in,
86
    wb_clock_in,
87
    pci_clock_in,
88
    ADR_O,
89
    MDATA_O,
90
    MDATA_I,
91
    CYC_O,
92
    STB_O,
93
    WE_O,
94
    SEL_O,
95
    ACK_I,
96
    RTY_I,
97
    ERR_I,
98
    CAB_O,
99 21 mihad
    pciu_mem_enable_in,
100
    pciu_io_enable_in,
101 2 mihad
    pciu_map_in,
102
    pciu_pref_en_in,
103 21 mihad
    pciu_conf_data_in,
104 2 mihad
    pciu_wbw_fifo_empty_in,
105 21 mihad
    pciu_wbu_del_read_comp_pending_in,
106 2 mihad
    pciu_wbu_frame_en_in,
107 21 mihad
    pciu_bar0_in,
108
    pciu_bar1_in,
109
    pciu_bar2_in,
110
    pciu_bar3_in,
111
    pciu_bar4_in,
112
    pciu_bar5_in,
113
    pciu_am0_in,
114
    pciu_am1_in,
115
    pciu_am2_in,
116
    pciu_am3_in,
117
    pciu_am4_in,
118
    pciu_am5_in,
119
    pciu_ta0_in,
120
    pciu_ta1_in,
121
    pciu_ta2_in,
122
    pciu_ta3_in,
123
    pciu_ta4_in,
124
    pciu_ta5_in,
125
    pciu_at_en_in,
126
    pciu_cache_line_size_in,
127
    pciu_cache_lsize_not_zero_in,
128
    pciu_pciif_frame_in,
129
    pciu_pciif_irdy_in,
130
    pciu_pciif_idsel_in,
131
    pciu_pciif_frame_reg_in,
132
    pciu_pciif_irdy_reg_in,
133
    pciu_pciif_idsel_reg_in,
134
    pciu_pciif_ad_reg_in,
135
    pciu_pciif_cbe_reg_in,
136
    pciu_pciif_bckp_trdy_en_in,
137
    pciu_pciif_bckp_devsel_in,
138
    pciu_pciif_bckp_trdy_in,
139
    pciu_pciif_bckp_stop_in,
140
    pciu_pciif_trdy_reg_in,
141
    pciu_pciif_stop_reg_in,
142
    pciu_pciif_trdy_out,
143
    pciu_pciif_stop_out,
144
    pciu_pciif_devsel_out,
145
    pciu_pciif_trdy_en_out,
146
    pciu_pciif_stop_en_out,
147
    pciu_pciif_devsel_en_out,
148
    pciu_ad_load_out,
149
    pciu_ad_load_on_transfer_out,
150
    pciu_pciif_ad_out,
151
    pciu_pciif_ad_en_out,
152
    pciu_pciif_tabort_set_out,
153
    pciu_err_addr_out,
154 2 mihad
    pciu_err_bc_out,
155
    pciu_err_data_out,
156 21 mihad
    pciu_err_be_out,
157
    pciu_err_signal_out,
158
    pciu_err_source_out,
159 2 mihad
    pciu_err_rty_exp_out,
160
    pciu_conf_offset_out,
161
    pciu_conf_renable_out,
162
    pciu_conf_wenable_out,
163 21 mihad
    pciu_conf_be_out,
164
    pciu_conf_data_out,
165 2 mihad
    pciu_conf_select_out,
166
    pciu_pci_drcomp_pending_out,
167
    pciu_pciw_fifo_empty_out
168 62 mihad
 
169
`ifdef PCI_BIST
170
    ,
171
    // debug chain signals
172 67 tadejm
    scanb_rst,      // bist scan reset
173
    scanb_clk,      // bist scan clock
174
    scanb_si,       // bist scan serial in
175
    scanb_so,       // bist scan serial out
176 68 tadejm
    scanb_en        // bist scan shift enable
177 62 mihad
`endif
178 2 mihad
);
179
 
180
input reset_in,
181
      wb_clock_in,
182
      pci_clock_in ;
183
 
184 21 mihad
output  [31:0]  ADR_O   ;
185 2 mihad
output  [31:0]  MDATA_O ;
186
input   [31:0]  MDATA_I ;
187
output          CYC_O   ;
188
output          STB_O   ;
189
output          WE_O    ;
190
output  [3:0]   SEL_O   ;
191
input           ACK_I   ;
192
input           RTY_I   ;
193
input           ERR_I   ;
194
output          CAB_O   ;
195
 
196
input           pciu_wbw_fifo_empty_in ;
197 21 mihad
input                   pciu_wbu_del_read_comp_pending_in ;
198
input           pciu_wbu_frame_en_in ;
199 2 mihad
 
200
input           pciu_mem_enable_in ;
201
input           pciu_io_enable_in ;
202
input   [5:0]   pciu_map_in ;
203
input   [5:0]   pciu_pref_en_in ;
204
input   [31:0]  pciu_conf_data_in ;
205
 
206 21 mihad
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in ;
207
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in ;
208
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in ;
209
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in ;
210
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in ;
211
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in ;
212
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in ;
213
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in ;
214
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in ;
215
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in ;
216
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in ;
217
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in ;
218
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in ;
219
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in ;
220
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in ;
221
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in ;
222
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in ;
223
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in ;
224 2 mihad
input   [5:0]                               pciu_at_en_in ;
225
 
226
input   [7:0]   pciu_cache_line_size_in ;
227 21 mihad
input           pciu_cache_lsize_not_zero_in ;
228 2 mihad
 
229 21 mihad
input           pciu_pciif_frame_in ;
230
input           pciu_pciif_irdy_in ;
231 2 mihad
input           pciu_pciif_idsel_in ;
232
input           pciu_pciif_frame_reg_in ;
233
input           pciu_pciif_irdy_reg_in ;
234
input           pciu_pciif_idsel_reg_in ;
235
input  [31:0]   pciu_pciif_ad_reg_in ;
236
input   [3:0]   pciu_pciif_cbe_reg_in ;
237 21 mihad
input           pciu_pciif_bckp_trdy_en_in ;
238
input           pciu_pciif_bckp_devsel_in ;
239
input           pciu_pciif_bckp_trdy_in ;
240
input           pciu_pciif_bckp_stop_in ;
241
input           pciu_pciif_trdy_reg_in ;
242
input           pciu_pciif_stop_reg_in ;
243 2 mihad
 
244
 
245 21 mihad
output          pciu_pciif_trdy_out ;
246
output          pciu_pciif_stop_out ;
247
output          pciu_pciif_devsel_out ;
248 2 mihad
output          pciu_pciif_trdy_en_out ;
249
output          pciu_pciif_stop_en_out ;
250
output          pciu_pciif_devsel_en_out ;
251 21 mihad
output          pciu_ad_load_out ;
252
output          pciu_ad_load_on_transfer_out ;
253
output [31:0]   pciu_pciif_ad_out ;
254
output          pciu_pciif_ad_en_out ;
255
output          pciu_pciif_tabort_set_out ;
256 2 mihad
 
257
output  [31:0]  pciu_err_addr_out ;
258
output  [3:0]   pciu_err_bc_out ;
259 21 mihad
output  [31:0]  pciu_err_data_out ;
260
output  [3:0]   pciu_err_be_out ;
261 2 mihad
output          pciu_err_signal_out ;
262
output          pciu_err_source_out ;
263
output          pciu_err_rty_exp_out ;
264
 
265 21 mihad
output          pciu_conf_select_out ;
266 2 mihad
output  [11:0]  pciu_conf_offset_out ;
267
output          pciu_conf_renable_out ;
268
output          pciu_conf_wenable_out ;
269
output  [3:0]   pciu_conf_be_out ;
270
output  [31:0]  pciu_conf_data_out ;
271
 
272 21 mihad
output          pciu_pci_drcomp_pending_out ;
273
output          pciu_pciw_fifo_empty_out ;
274 2 mihad
 
275 62 mihad
`ifdef PCI_BIST
276
/*-----------------------------------------------------
277
BIST debug chain port signals
278
-----------------------------------------------------*/
279 67 tadejm
input   scanb_rst;      // bist scan reset
280
input   scanb_clk;      // bist scan clock
281
input   scanb_si;       // bist scan serial in
282
output  scanb_so;       // bist scan serial out
283 68 tadejm
input   scanb_en;       // bist scan shift enable
284 62 mihad
`endif
285 2 mihad
 
286 62 mihad
 
287 2 mihad
// pci target state machine and interface outputs
288
wire        pcit_sm_trdy_out ;
289
wire        pcit_sm_stop_out ;
290
wire        pcit_sm_devsel_out ;
291
wire        pcit_sm_trdy_en_out ;
292
wire        pcit_sm_stop_en_out ;
293
wire        pcit_sm_devsel_en_out ;
294 21 mihad
wire        pcit_sm_ad_load_out ;
295
wire        pcit_sm_ad_load_on_transfer_out ;
296 2 mihad
wire [31:0] pcit_sm_ad_out ;
297
wire        pcit_sm_ad_en_out ;
298
wire [31:0] pcit_sm_address_out ;
299
wire  [3:0] pcit_sm_bc_out ;
300 21 mihad
wire        pcit_sm_bc0_out ;
301 2 mihad
wire [31:0] pcit_sm_data_out ;
302
wire  [3:0] pcit_sm_be_out ;
303
wire        pcit_sm_req_out ;
304
wire        pcit_sm_rdy_out ;
305 21 mihad
wire        pcit_sm_addr_phase_out ;
306
wire            pcit_sm_bckp_devsel_out ;
307
wire        pcit_sm_bckp_trdy_out ;
308
wire            pcit_sm_bckp_stop_out ;
309
wire        pcit_sm_last_reg_out ;
310
wire        pcit_sm_frame_reg_out ;
311
wire        pcit_sm_fetch_pcir_fifo_out ;
312
wire        pcit_sm_load_medium_reg_out ;
313
wire        pcit_sm_sel_fifo_mreg_out ;
314
wire        pcit_sm_sel_conf_fifo_out ;
315
wire        pcit_sm_fetch_conf_out ;
316
wire        pcit_sm_load_to_pciw_fifo_out ;
317
wire        pcit_sm_load_to_conf_out ;
318 2 mihad
 
319 21 mihad
wire        pcit_sm_target_abort_set_out ; // to conf space
320 2 mihad
 
321 21 mihad
assign  pciu_pciif_trdy_out             =   pcit_sm_trdy_out ;
322
assign  pciu_pciif_stop_out             =   pcit_sm_stop_out ;
323
assign  pciu_pciif_devsel_out           =   pcit_sm_devsel_out ;
324
assign  pciu_pciif_trdy_en_out          =   pcit_sm_trdy_en_out ;
325
assign  pciu_pciif_stop_en_out          =   pcit_sm_stop_en_out ;
326
assign  pciu_pciif_devsel_en_out        =   pcit_sm_devsel_en_out ;
327
assign  pciu_ad_load_out                =   pcit_sm_ad_load_out ;
328
assign  pciu_ad_load_on_transfer_out    =   pcit_sm_ad_load_on_transfer_out ;
329
assign  pciu_pciif_ad_out               =   pcit_sm_ad_out ;
330
assign  pciu_pciif_ad_en_out            =   pcit_sm_ad_en_out ;
331
assign  pciu_pciif_tabort_set_out       =   pcit_sm_target_abort_set_out ;
332 2 mihad
 
333
wire        pcit_if_addr_claim_out ;
334
wire [31:0] pcit_if_data_out ;
335
wire        pcit_if_same_read_out ;
336
wire        pcit_if_norm_access_to_config_out ;
337
wire        pcit_if_read_completed_out ;
338
wire        pcit_if_read_processing_out ;
339
wire        pcit_if_target_abort_out ;
340
wire        pcit_if_disconect_wo_data_out ;
341 21 mihad
wire            pcit_if_disconect_w_data_out ;
342 2 mihad
wire        pcit_if_pciw_fifo_full_out ;
343
wire        pcit_if_pcir_fifo_data_err_out ;
344
wire        pcit_if_wbw_fifo_empty_out ;
345 21 mihad
wire            pcit_if_wbu_del_read_comp_pending_out ;
346 2 mihad
wire        pcit_if_req_out ;
347
wire        pcit_if_done_out ;
348
wire        pcit_if_in_progress_out ;
349
wire [31:0] pcit_if_addr_out ;
350
wire  [3:0] pcit_if_be_out ;
351
wire        pcit_if_we_out ;
352
wire  [3:0] pcit_if_bc_out ;
353 21 mihad
wire        pcit_if_burst_ok_out ;
354 2 mihad
wire        pcit_if_pcir_fifo_renable_out ;
355
wire        pcit_if_pcir_fifo_flush_out ;
356
wire        pcit_if_pciw_fifo_wenable_out ;
357
wire [31:0] pcit_if_pciw_fifo_addr_data_out ;
358
wire  [3:0] pcit_if_pciw_fifo_cbe_out ;
359
wire  [3:0] pcit_if_pciw_fifo_control_out ;
360
wire        pcit_if_conf_hit_out ;
361
wire [11:0] pcit_if_conf_addr_out ;
362
wire [31:0] pcit_if_conf_data_out ;
363
wire  [3:0] pcit_if_conf_be_out ;
364
wire        pcit_if_conf_we_out ;
365
wire        pcit_if_conf_re_out ;
366
 
367
// pci target state machine outputs
368
// pci interface signals
369 21 mihad
assign  pciu_conf_select_out    =   pcit_if_conf_hit_out ;
370
assign  pciu_conf_offset_out    =   pcit_if_conf_addr_out ;
371
assign  pciu_conf_renable_out   =   pcit_if_conf_re_out ;
372
assign  pciu_conf_wenable_out   =   pcit_if_conf_we_out ;
373
assign  pciu_conf_be_out        =   pcit_if_conf_be_out ;
374
assign  pciu_conf_data_out      =   pcit_if_conf_data_out ;
375 2 mihad
 
376
// wishbone master state machine outputs
377 21 mihad
wire        wbm_sm_wb_read_done ;
378 26 mihad
wire            wbm_sm_write_attempt ;
379 2 mihad
wire        wbm_sm_pcir_fifo_wenable_out ;
380
wire [31:0] wbm_sm_pcir_fifo_data_out ;
381
wire  [3:0] wbm_sm_pcir_fifo_be_out ;
382
wire  [3:0] wbm_sm_pcir_fifo_control_out ;
383
wire        wbm_sm_pciw_fifo_renable_out ;
384
wire        wbm_sm_pci_error_sig_out ;
385
wire  [3:0] wbm_sm_pci_error_bc ;
386
wire        wbm_sm_write_rty_cnt_exp_out ;
387 21 mihad
wire        wbm_sm_error_source_out ;
388 2 mihad
wire        wbm_sm_read_rty_cnt_exp_out ;
389
wire        wbm_sm_cyc_out ;
390
wire        wbm_sm_stb_out ;
391
wire        wbm_sm_we_out ;
392
wire  [3:0] wbm_sm_sel_out ;
393
wire [31:0] wbm_sm_adr_out ;
394
wire [31:0] wbm_sm_mdata_out ;
395
wire        wbm_sm_cab_out ;
396
 
397 21 mihad
assign  pciu_err_addr_out       =   wbm_sm_adr_out ;
398
assign  pciu_err_bc_out         =   wbm_sm_pci_error_bc ;
399
assign  pciu_err_data_out       =   wbm_sm_mdata_out ;
400
assign  pciu_err_be_out         =   ~wbm_sm_sel_out ;
401
assign  pciu_err_signal_out     =   wbm_sm_pci_error_sig_out ;
402
assign  pciu_err_source_out     =   wbm_sm_error_source_out ;
403
assign  pciu_err_rty_exp_out    =   wbm_sm_write_rty_cnt_exp_out ;
404 2 mihad
 
405 21 mihad
assign  ADR_O       =   wbm_sm_adr_out ;
406
assign  MDATA_O     =   wbm_sm_mdata_out ;
407
assign  CYC_O       =   wbm_sm_cyc_out ;
408
assign  STB_O       =   wbm_sm_stb_out ;
409
assign  WE_O        =   wbm_sm_we_out ;
410
assign  SEL_O       =   wbm_sm_sel_out ;
411
assign  CAB_O       =   wbm_sm_cab_out ;
412 2 mihad
 
413
// pciw_pcir fifo outputs
414
 
415
// pciw_fifo_outputs:
416
wire [31:0] fifos_pciw_addr_data_out ;
417
wire [3:0]  fifos_pciw_cbe_out ;
418
wire [3:0]  fifos_pciw_control_out ;
419 21 mihad
wire        fifos_pciw_two_left_out ;
420 2 mihad
wire        fifos_pciw_almost_full_out ;
421
wire        fifos_pciw_full_out ;
422 21 mihad
wire        fifos_pciw_almost_empty_out ;
423 2 mihad
wire        fifos_pciw_empty_out ;
424
wire        fifos_pciw_transaction_ready_out ;
425
 
426 26 mihad
assign  pciu_pciw_fifo_empty_out = !wbm_sm_write_attempt;
427 2 mihad
 
428
// pcir_fifo_outputs
429
wire [31:0] fifos_pcir_data_out ;
430
wire [3:0]  fifos_pcir_be_out ;
431
wire [3:0]  fifos_pcir_control_out ;
432
wire        fifos_pcir_almost_empty_out ;
433 21 mihad
wire        fifos_pcir_empty_out ;
434 2 mihad
 
435
// delayed transaction logic outputs
436
wire [31:0] del_sync_addr_out ;
437
wire [3:0]  del_sync_be_out ;
438
wire        del_sync_we_out ;
439
wire        del_sync_comp_req_pending_out ;
440
wire        del_sync_comp_comp_pending_out ;
441
wire        del_sync_req_req_pending_out ;
442
wire        del_sync_req_comp_pending_out ;
443
wire [3:0]  del_sync_bc_out ;
444
wire        del_sync_status_out ;
445
wire        del_sync_comp_flush_out ;
446
wire        del_sync_burst_out ;
447
 
448 21 mihad
assign  pciu_pci_drcomp_pending_out = del_sync_comp_comp_pending_out ;
449 2 mihad
 
450 21 mihad
// WISHBONE master interface inputs
451
wire        wbm_sm_pci_tar_read_request             =   del_sync_comp_req_pending_out ;
452
wire [31:0] wbm_sm_pci_tar_address                  =   del_sync_addr_out ;
453
wire  [3:0] wbm_sm_pci_tar_cmd                      =   del_sync_bc_out ;
454
wire  [3:0] wbm_sm_pci_tar_be                       =   del_sync_be_out ;
455
wire        wbm_sm_pci_tar_burst_ok                 =   del_sync_burst_out ;
456
wire  [7:0] wbm_sm_pci_cache_line_size              =   pciu_cache_line_size_in ;
457
wire        wbm_sm_cache_lsize_not_zero_in          =   pciu_cache_lsize_not_zero_in ;
458
wire [31:0] wbm_sm_pciw_fifo_addr_data_in           =   fifos_pciw_addr_data_out ;
459
wire  [3:0] wbm_sm_pciw_fifo_cbe_in                 =   fifos_pciw_cbe_out ;
460
wire  [3:0] wbm_sm_pciw_fifo_control_in             =   fifos_pciw_control_out ;
461
wire        wbm_sm_pciw_fifo_almost_empty_in        =   fifos_pciw_almost_empty_out ;
462
wire        wbm_sm_pciw_fifo_empty_in               =   fifos_pciw_empty_out ;
463
wire        wbm_sm_pciw_fifo_transaction_ready_in   =   fifos_pciw_transaction_ready_out ;
464
wire [31:0] wbm_sm_mdata_in                         =   MDATA_I ;
465
wire        wbm_sm_ack_in                           =   ACK_I ;
466
wire        wbm_sm_rty_in                           =   RTY_I ;
467
wire        wbm_sm_err_in                           =   ERR_I ;
468 2 mihad
 
469
// WISHBONE master interface instantiation
470
WB_MASTER wishbone_master
471
(
472 21 mihad
    .wb_clock_in                    (wb_clock_in),
473
    .reset_in                       (reset_in),
474
    .pci_tar_read_request           (wbm_sm_pci_tar_read_request),  //in
475
    .pci_tar_address                (wbm_sm_pci_tar_address),       //in
476
    .pci_tar_cmd                    (wbm_sm_pci_tar_cmd),           //in
477
    .pci_tar_be                     (wbm_sm_pci_tar_be),            //in
478
    .pci_tar_burst_ok                           (wbm_sm_pci_tar_burst_ok),              //in
479
    .pci_cache_line_size            (wbm_sm_pci_cache_line_size),   //in
480
    .cache_lsize_not_zero           (wbm_sm_cache_lsize_not_zero_in),
481
    .wb_read_done_out               (wbm_sm_wb_read_done),          //out
482 26 mihad
    .w_attempt                                          (wbm_sm_write_attempt),                 //out
483 21 mihad
    .pcir_fifo_wenable_out          (wbm_sm_pcir_fifo_wenable_out),
484
    .pcir_fifo_data_out             (wbm_sm_pcir_fifo_data_out),
485
    .pcir_fifo_be_out               (wbm_sm_pcir_fifo_be_out),
486
    .pcir_fifo_control_out          (wbm_sm_pcir_fifo_control_out),
487
    .pciw_fifo_renable_out          (wbm_sm_pciw_fifo_renable_out),
488
    .pciw_fifo_addr_data_in         (wbm_sm_pciw_fifo_addr_data_in),
489
    .pciw_fifo_cbe_in               (wbm_sm_pciw_fifo_cbe_in),
490
    .pciw_fifo_control_in           (wbm_sm_pciw_fifo_control_in),
491
    .pciw_fifo_almost_empty_in      (wbm_sm_pciw_fifo_almost_empty_in),
492
    .pciw_fifo_empty_in             (wbm_sm_pciw_fifo_empty_in),
493
    .pciw_fifo_transaction_ready_in (wbm_sm_pciw_fifo_transaction_ready_in),
494
    .pci_error_sig_out              (wbm_sm_pci_error_sig_out),
495
    .pci_error_bc                   (wbm_sm_pci_error_bc),
496
    .write_rty_cnt_exp_out          (wbm_sm_write_rty_cnt_exp_out),
497
    .error_source_out               (wbm_sm_error_source_out),
498
    .read_rty_cnt_exp_out           (wbm_sm_read_rty_cnt_exp_out),
499
    .CYC_O                          (wbm_sm_cyc_out),
500
    .STB_O                          (wbm_sm_stb_out),
501
    .WE_O                           (wbm_sm_we_out),
502
    .SEL_O                          (wbm_sm_sel_out),
503
    .ADR_O                          (wbm_sm_adr_out),
504
    .MDATA_I                        (wbm_sm_mdata_in),
505
    .MDATA_O                        (wbm_sm_mdata_out),
506
    .ACK_I                          (wbm_sm_ack_in),
507
    .RTY_I                          (wbm_sm_rty_in),
508
    .ERR_I                          (wbm_sm_err_in),
509
    .CAB_O                          (wbm_sm_cab_out)
510 2 mihad
);
511
 
512
// pciw_pcir_fifos inputs
513
// PCIW_FIFO inputs
514 21 mihad
wire        fifos_pciw_wenable_in       =   pcit_if_pciw_fifo_wenable_out ;
515
wire [31:0] fifos_pciw_addr_data_in     =   pcit_if_pciw_fifo_addr_data_out ;
516
wire [3:0]  fifos_pciw_cbe_in           =   pcit_if_pciw_fifo_cbe_out ;
517
wire [3:0]  fifos_pciw_control_in       =   pcit_if_pciw_fifo_control_out ;
518
wire        fifos_pciw_renable_in       =   wbm_sm_pciw_fifo_renable_out ;
519 58 mihad
//wire        fifos_pciw_flush_in         =   1'b0 ;    // flush not used for write fifo
520 2 mihad
 
521
// PCIR_FIFO inputs
522 21 mihad
wire        fifos_pcir_wenable_in       =   wbm_sm_pcir_fifo_wenable_out ;
523
wire [31:0] fifos_pcir_data_in          =   wbm_sm_pcir_fifo_data_out ;
524
wire [3:0]  fifos_pcir_be_in            =   wbm_sm_pcir_fifo_be_out ;
525
wire [3:0]  fifos_pcir_control_in       =   wbm_sm_pcir_fifo_control_out ;
526
wire        fifos_pcir_renable_in       =   pcit_if_pcir_fifo_renable_out ;
527
wire        fifos_pcir_flush_in         =   pcit_if_pcir_fifo_flush_out ;
528 2 mihad
 
529
// PCIW_FIFO and PCIR_FIFO instantiation
530
PCIW_PCIR_FIFOS fifos
531
(
532 21 mihad
    .wb_clock_in                (wb_clock_in),
533
    .pci_clock_in               (pci_clock_in),
534
    .reset_in                   (reset_in),
535
    .pciw_wenable_in            (fifos_pciw_wenable_in),      //for PCI Target !!!
536
    .pciw_addr_data_in          (fifos_pciw_addr_data_in),    //for PCI Target !!!
537
    .pciw_cbe_in                (fifos_pciw_cbe_in),          //for PCI Target !!!
538
    .pciw_control_in            (fifos_pciw_control_in),      //for PCI Target !!!
539
    .pciw_renable_in            (fifos_pciw_renable_in),
540
    .pciw_addr_data_out         (fifos_pciw_addr_data_out),
541
    .pciw_cbe_out               (fifos_pciw_cbe_out),
542
    .pciw_control_out           (fifos_pciw_control_out),
543 58 mihad
//    .pciw_flush_in              (fifos_pciw_flush_in),      // flush not used for write fifo
544 21 mihad
    .pciw_two_left_out          (fifos_pciw_two_left_out),    //for PCI Target !!!
545
    .pciw_almost_full_out       (fifos_pciw_almost_full_out), //for PCI Target !!!
546
    .pciw_full_out              (fifos_pciw_full_out),        //for PCI Target !!!
547
    .pciw_almost_empty_out      (fifos_pciw_almost_empty_out),
548
    .pciw_empty_out             (fifos_pciw_empty_out),
549
    .pciw_transaction_ready_out (fifos_pciw_transaction_ready_out),
550
    .pcir_wenable_in            (fifos_pcir_wenable_in),
551
    .pcir_data_in               (fifos_pcir_data_in),
552
    .pcir_be_in                 (fifos_pcir_be_in),
553
    .pcir_control_in            (fifos_pcir_control_in),
554
    .pcir_renable_in            (fifos_pcir_renable_in),      //for PCI Target !!!
555
    .pcir_data_out              (fifos_pcir_data_out),        //for PCI Target !!!
556
    .pcir_be_out                (fifos_pcir_be_out),          //for PCI Target !!!
557
    .pcir_control_out           (fifos_pcir_control_out),     //for PCI Target !!!
558
    .pcir_flush_in              (fifos_pcir_flush_in),        //for PCI Target !!!
559 26 mihad
    .pcir_full_out              (),
560 21 mihad
    .pcir_almost_empty_out      (fifos_pcir_almost_empty_out), //for PCI Target !!!
561
    .pcir_empty_out             (fifos_pcir_empty_out),        //for PCI Target !!!
562
    .pcir_transaction_ready_out ()
563 62 mihad
 
564
`ifdef PCI_BIST
565
    ,
566 67 tadejm
    .scanb_rst      (scanb_rst),
567
    .scanb_clk      (scanb_clk),
568
    .scanb_si       (scanb_si),
569
    .scanb_so       (scanb_so),
570 68 tadejm
    .scanb_en       (scanb_en)
571 62 mihad
`endif
572 2 mihad
) ;
573
 
574
// delayed transaction logic inputs
575 21 mihad
wire        del_sync_req_in             =   pcit_if_req_out ;
576
wire        del_sync_comp_in            =   wbm_sm_wb_read_done ;
577
wire        del_sync_done_in            =   pcit_if_done_out ;
578
wire        del_sync_in_progress_in     =   pcit_if_in_progress_out ;
579
wire [31:0] del_sync_addr_in            =   pcit_if_addr_out ;
580
wire  [3:0] del_sync_be_in              =   pcit_if_be_out ;
581
wire        del_sync_we_in              =   pcit_if_we_out ;
582
wire  [3:0] del_sync_bc_in              =   pcit_if_bc_out ;
583
wire        del_sync_status_in          =   1'b0 ;
584
wire        del_sync_burst_in           =   pcit_if_burst_ok_out ;
585
wire        del_sync_retry_expired_in   =   wbm_sm_read_rty_cnt_exp_out ;
586 2 mihad
 
587
// delayed transaction logic instantiation
588 21 mihad
DELAYED_SYNC                del_sync
589 2 mihad
(
590 21 mihad
    .reset_in               (reset_in),
591
    .req_clk_in             (pci_clock_in),
592
    .comp_clk_in            (wb_clock_in),
593
    .req_in                 (del_sync_req_in),
594
    .comp_in                (del_sync_comp_in),
595
    .done_in                (del_sync_done_in),
596
    .in_progress_in         (del_sync_in_progress_in),
597
    .comp_req_pending_out   (del_sync_comp_req_pending_out),
598
    .comp_comp_pending_out  (del_sync_comp_comp_pending_out),
599
    .req_req_pending_out    (del_sync_req_req_pending_out),
600
    .req_comp_pending_out   (del_sync_req_comp_pending_out),
601
    .addr_in                (del_sync_addr_in),
602
    .be_in                  (del_sync_be_in),
603
    .addr_out               (del_sync_addr_out),
604
    .be_out                 (del_sync_be_out),
605
    .we_in                  (del_sync_we_in),
606
    .we_out                 (del_sync_we_out),
607
    .bc_in                  (del_sync_bc_in),
608
    .bc_out                 (del_sync_bc_out),
609
    .status_in              (del_sync_status_in),
610
    .status_out             (del_sync_status_out),
611
    .comp_flush_out         (del_sync_comp_flush_out),
612
    .burst_in               (del_sync_burst_in),
613
    .burst_out              (del_sync_burst_out),
614
    .retry_expired_in       (del_sync_retry_expired_in)
615 2 mihad
);
616
 
617
// pci target interface inputs
618 21 mihad
wire [31:0] pcit_if_address_in                      =   pcit_sm_address_out ;
619
wire  [3:0] pcit_if_bc_in                           =   pcit_sm_bc_out ;
620
wire        pcit_if_bc0_in                          =   pcit_sm_bc0_out ;
621
wire [31:0] pcit_if_data_in                         =   pcit_sm_data_out ;
622
wire  [3:0] pcit_if_be_in                           =   pcit_sm_be_out ;
623
wire        pcit_if_req_in                          =   pcit_sm_req_out ;
624
wire        pcit_if_rdy_in                          =   pcit_sm_rdy_out ;
625
wire        pcit_if_addr_phase_in                   =   pcit_sm_addr_phase_out ;
626
wire            pcit_if_bckp_devsel_in                                  =       pcit_sm_bckp_devsel_out ;
627
wire        pcit_if_bckp_trdy_in                    =   pcit_sm_bckp_trdy_out ;
628
wire            pcit_if_bckp_stop_in                                    =       pcit_sm_bckp_stop_out ;
629
wire        pcit_if_last_reg_in                     =   pcit_sm_last_reg_out ;
630
wire        pcit_if_frame_reg_in                    =   pcit_sm_frame_reg_out ;
631
wire        pcit_if_fetch_pcir_fifo_in              =   pcit_sm_fetch_pcir_fifo_out ;
632
wire        pcit_if_load_medium_reg_in              =   pcit_sm_load_medium_reg_out ;
633
wire        pcit_if_sel_fifo_mreg_in                =   pcit_sm_sel_fifo_mreg_out ;
634
wire        pcit_if_sel_conf_fifo_in                =   pcit_sm_sel_conf_fifo_out ;
635
wire        pcit_if_fetch_conf_in                   =   pcit_sm_fetch_conf_out ;
636
wire        pcit_if_load_to_pciw_fifo_in            =   pcit_sm_load_to_pciw_fifo_out ;
637
wire        pcit_if_load_to_conf_in                 =   pcit_sm_load_to_conf_out ;
638
wire        pcit_if_req_req_pending_in              =   del_sync_req_req_pending_out ;
639
wire        pcit_if_req_comp_pending_in             =   del_sync_req_comp_pending_out ;
640
wire        pcit_if_status_in                       =   del_sync_status_out ;
641
wire [31:0] pcit_if_strd_addr_in                    =   del_sync_addr_out ;
642
wire  [3:0] pcit_if_strd_bc_in                      =   del_sync_bc_out ;
643
wire        pcit_if_comp_flush_in                   =   del_sync_comp_flush_out ;
644
wire [31:0] pcit_if_pcir_fifo_data_in               =   fifos_pcir_data_out ;
645
wire  [3:0] pcit_if_pcir_fifo_be_in                 =   fifos_pcir_be_out ;
646
wire  [3:0] pcit_if_pcir_fifo_control_in            =   fifos_pcir_control_out ;
647
wire        pcit_if_pcir_fifo_almost_empty_in       =   fifos_pcir_almost_empty_out ;
648
wire        pcit_if_pcir_fifo_empty_in              =   fifos_pcir_empty_out ;
649
wire        pcit_if_pciw_fifo_two_left_in           =   fifos_pciw_two_left_out ;
650
wire        pcit_if_pciw_fifo_almost_full_in        =   fifos_pciw_almost_full_out ;
651
wire        pcit_if_pciw_fifo_full_in               =   fifos_pciw_full_out ;
652
wire        pcit_if_wbw_fifo_empty_in               =   pciu_wbw_fifo_empty_in ;
653
wire            pcit_if_wbu_del_read_comp_pending_in    =       pciu_wbu_del_read_comp_pending_in ;
654
wire [31:0] pcit_if_conf_data_in                    =   pciu_conf_data_in ;
655
wire        pcit_if_mem_enable_in                   =   pciu_mem_enable_in ;
656
wire        pcit_if_io_enable_in                    =   pciu_io_enable_in ;
657
wire        pcit_if_mem_io_addr_space0_in           =   pciu_map_in[0] ;
658
wire        pcit_if_mem_io_addr_space1_in           =   pciu_map_in[1] ;
659
wire        pcit_if_mem_io_addr_space2_in           =   pciu_map_in[2] ;
660
wire        pcit_if_mem_io_addr_space3_in           =   pciu_map_in[3] ;
661
wire        pcit_if_mem_io_addr_space4_in           =   pciu_map_in[4] ;
662
wire        pcit_if_mem_io_addr_space5_in           =   pciu_map_in[5] ;
663
wire        pcit_if_pre_fetch_en0_in                =   pciu_pref_en_in[0] ;
664
wire        pcit_if_pre_fetch_en1_in                =   pciu_pref_en_in[1] ;
665
wire        pcit_if_pre_fetch_en2_in                =   pciu_pref_en_in[2] ;
666
wire        pcit_if_pre_fetch_en3_in                =   pciu_pref_en_in[3] ;
667
wire        pcit_if_pre_fetch_en4_in                =   pciu_pref_en_in[4] ;
668
wire        pcit_if_pre_fetch_en5_in                =   pciu_pref_en_in[5] ;
669
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr0_in =   pciu_bar0_in ;
670
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr1_in =   pciu_bar1_in ;
671
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr2_in =   pciu_bar2_in ;
672
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr3_in =   pciu_bar3_in ;
673
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr4_in =   pciu_bar4_in ;
674
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr5_in =   pciu_bar5_in ;
675
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask0_in =   pciu_am0_in ;
676
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask1_in =   pciu_am1_in ;
677
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask2_in =   pciu_am2_in ;
678
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask3_in =   pciu_am3_in ;
679
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask4_in =   pciu_am4_in ;
680
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask5_in =   pciu_am5_in ;
681
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr0_in =   pciu_ta0_in ;
682
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr1_in =   pciu_ta1_in ;
683
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr2_in =   pciu_ta2_in ;
684
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr3_in =   pciu_ta3_in ;
685
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr4_in =   pciu_ta4_in ;
686
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr5_in =   pciu_ta5_in ;
687
wire        pcit_if_addr_tran_en0_in                =   pciu_at_en_in[0] ;
688
wire        pcit_if_addr_tran_en1_in                =   pciu_at_en_in[1] ;
689
wire        pcit_if_addr_tran_en2_in                =   pciu_at_en_in[2] ;
690
wire        pcit_if_addr_tran_en3_in                =   pciu_at_en_in[3] ;
691
wire        pcit_if_addr_tran_en4_in                =   pciu_at_en_in[4] ;
692
wire        pcit_if_addr_tran_en5_in                =   pciu_at_en_in[5] ;
693 2 mihad
 
694 21 mihad
PCI_TARGET32_INTERFACE              pci_target_if
695 2 mihad
(
696 21 mihad
    .clk_in                         (pci_clock_in),
697
    .reset_in                       (reset_in),
698
    .address_in                     (pcit_if_address_in),
699
    .addr_claim_out                 (pcit_if_addr_claim_out),
700
    .bc_in                          (pcit_if_bc_in),
701
    .bc0_in                         (pcit_if_bc0_in),
702
    .data_in                        (pcit_if_data_in),
703
    .data_out                       (pcit_if_data_out),
704
    .be_in                          (pcit_if_be_in),
705
    .req_in                         (pcit_if_req_in),
706
    .rdy_in                         (pcit_if_rdy_in),
707
    .addr_phase_in                  (pcit_if_addr_phase_in),
708
    .bckp_devsel_in                 (pcit_if_bckp_devsel_in),
709
    .bckp_trdy_in                   (pcit_if_bckp_trdy_in),
710
    .bckp_stop_in                   (pcit_if_bckp_stop_in),
711
    .last_reg_in                    (pcit_if_last_reg_in),
712
    .frame_reg_in                   (pcit_if_frame_reg_in),
713
    .fetch_pcir_fifo_in             (pcit_if_fetch_pcir_fifo_in),
714
    .load_medium_reg_in             (pcit_if_load_medium_reg_in),
715
    .sel_fifo_mreg_in               (pcit_if_sel_fifo_mreg_in),
716
    .sel_conf_fifo_in               (pcit_if_sel_conf_fifo_in),
717
    .fetch_conf_in                  (pcit_if_fetch_conf_in),
718
    .load_to_pciw_fifo_in           (pcit_if_load_to_pciw_fifo_in),
719
    .load_to_conf_in                (pcit_if_load_to_conf_in),
720
    .same_read_out                  (pcit_if_same_read_out),
721
    .norm_access_to_config_out      (pcit_if_norm_access_to_config_out),
722
    .read_completed_out             (pcit_if_read_completed_out),
723
    .read_processing_out            (pcit_if_read_processing_out),
724
    .target_abort_out               (pcit_if_target_abort_out),
725
    .disconect_wo_data_out          (pcit_if_disconect_wo_data_out),
726
    .disconect_w_data_out                       (pcit_if_disconect_w_data_out),
727
    .pciw_fifo_full_out             (pcit_if_pciw_fifo_full_out),
728
    .pcir_fifo_data_err_out         (pcit_if_pcir_fifo_data_err_out),
729
    .wbw_fifo_empty_out             (pcit_if_wbw_fifo_empty_out),
730
    .wbu_del_read_comp_pending_out      (pcit_if_wbu_del_read_comp_pending_out),
731
    .req_out                        (pcit_if_req_out),
732
    .done_out                       (pcit_if_done_out),
733
    .in_progress_out                (pcit_if_in_progress_out),
734
    .req_req_pending_in             (pcit_if_req_req_pending_in),
735
    .req_comp_pending_in            (pcit_if_req_comp_pending_in),
736
    .addr_out                       (pcit_if_addr_out),
737
    .be_out                         (pcit_if_be_out),
738
    .we_out                         (pcit_if_we_out),
739
    .bc_out                         (pcit_if_bc_out),
740
    .burst_ok_out                   (pcit_if_burst_ok_out),
741
    .strd_addr_in                   (pcit_if_strd_addr_in),
742
    .strd_bc_in                     (pcit_if_strd_bc_in),
743
    .status_in                      (pcit_if_status_in),
744
    .comp_flush_in                  (pcit_if_comp_flush_in),
745
    .pcir_fifo_renable_out          (pcit_if_pcir_fifo_renable_out),
746
    .pcir_fifo_data_in              (pcit_if_pcir_fifo_data_in),
747
    .pcir_fifo_be_in                (pcit_if_pcir_fifo_be_in),
748
    .pcir_fifo_control_in           (pcit_if_pcir_fifo_control_in),
749
    .pcir_fifo_flush_out            (pcit_if_pcir_fifo_flush_out),
750
    .pcir_fifo_almost_empty_in      (pcit_if_pcir_fifo_almost_empty_in),
751
    .pcir_fifo_empty_in             (pcit_if_pcir_fifo_empty_in),
752
    .pciw_fifo_wenable_out          (pcit_if_pciw_fifo_wenable_out),
753
    .pciw_fifo_addr_data_out        (pcit_if_pciw_fifo_addr_data_out),
754
    .pciw_fifo_cbe_out              (pcit_if_pciw_fifo_cbe_out),
755
    .pciw_fifo_control_out          (pcit_if_pciw_fifo_control_out),
756
    .pciw_fifo_two_left_in          (pcit_if_pciw_fifo_two_left_in),
757
    .pciw_fifo_almost_full_in       (pcit_if_pciw_fifo_almost_full_in),
758
    .pciw_fifo_full_in              (pcit_if_pciw_fifo_full_in),
759
    .wbw_fifo_empty_in              (pcit_if_wbw_fifo_empty_in),
760
    .wbu_del_read_comp_pending_in       (pcit_if_wbu_del_read_comp_pending_in),
761
    .conf_hit_out                   (pcit_if_conf_hit_out),
762
    .conf_addr_out                  (pcit_if_conf_addr_out),
763
    .conf_data_out                  (pcit_if_conf_data_out),
764
    .conf_data_in                   (pcit_if_conf_data_in),
765
    .conf_be_out                    (pcit_if_conf_be_out),
766
    .conf_we_out                    (pcit_if_conf_we_out),
767
    .conf_re_out                    (pcit_if_conf_re_out),
768
    .mem_enable_in                  (pcit_if_mem_enable_in),
769
    .io_enable_in                   (pcit_if_io_enable_in),
770
    .mem_io_addr_space0_in          (pcit_if_mem_io_addr_space0_in),
771
    .mem_io_addr_space1_in          (pcit_if_mem_io_addr_space1_in),
772
    .mem_io_addr_space2_in          (pcit_if_mem_io_addr_space2_in),
773
    .mem_io_addr_space3_in          (pcit_if_mem_io_addr_space3_in),
774
    .mem_io_addr_space4_in          (pcit_if_mem_io_addr_space4_in),
775
    .mem_io_addr_space5_in          (pcit_if_mem_io_addr_space5_in),
776
    .pre_fetch_en0_in               (pcit_if_pre_fetch_en0_in),
777
    .pre_fetch_en1_in               (pcit_if_pre_fetch_en1_in),
778
    .pre_fetch_en2_in               (pcit_if_pre_fetch_en2_in),
779
    .pre_fetch_en3_in               (pcit_if_pre_fetch_en3_in),
780
    .pre_fetch_en4_in               (pcit_if_pre_fetch_en4_in),
781
    .pre_fetch_en5_in               (pcit_if_pre_fetch_en5_in),
782
    .pci_base_addr0_in              (pcit_if_pci_base_addr0_in),
783
    .pci_base_addr1_in              (pcit_if_pci_base_addr1_in),
784
    .pci_base_addr2_in              (pcit_if_pci_base_addr2_in),
785
    .pci_base_addr3_in              (pcit_if_pci_base_addr3_in),
786
    .pci_base_addr4_in              (pcit_if_pci_base_addr4_in),
787
    .pci_base_addr5_in              (pcit_if_pci_base_addr5_in),
788
    .pci_addr_mask0_in              (pcit_if_pci_addr_mask0_in),
789
    .pci_addr_mask1_in              (pcit_if_pci_addr_mask1_in),
790
    .pci_addr_mask2_in              (pcit_if_pci_addr_mask2_in),
791
    .pci_addr_mask3_in              (pcit_if_pci_addr_mask3_in),
792
    .pci_addr_mask4_in              (pcit_if_pci_addr_mask4_in),
793
    .pci_addr_mask5_in              (pcit_if_pci_addr_mask5_in),
794
    .pci_tran_addr0_in              (pcit_if_pci_tran_addr0_in),
795
    .pci_tran_addr1_in              (pcit_if_pci_tran_addr1_in),
796
    .pci_tran_addr2_in              (pcit_if_pci_tran_addr2_in),
797
    .pci_tran_addr3_in              (pcit_if_pci_tran_addr3_in),
798
    .pci_tran_addr4_in              (pcit_if_pci_tran_addr4_in),
799
    .pci_tran_addr5_in              (pcit_if_pci_tran_addr5_in),
800
    .addr_tran_en0_in               (pcit_if_addr_tran_en0_in),
801
    .addr_tran_en1_in               (pcit_if_addr_tran_en1_in),
802
    .addr_tran_en2_in               (pcit_if_addr_tran_en2_in),
803
    .addr_tran_en3_in               (pcit_if_addr_tran_en3_in),
804
    .addr_tran_en4_in               (pcit_if_addr_tran_en4_in),
805
    .addr_tran_en5_in               (pcit_if_addr_tran_en5_in)
806 2 mihad
) ;
807
 
808
// pci target state machine inputs
809 21 mihad
wire        pcit_sm_frame_in                    =   pciu_pciif_frame_in ;
810
wire        pcit_sm_irdy_in                     =   pciu_pciif_irdy_in ;
811
wire        pcit_sm_idsel_in                    =   pciu_pciif_idsel_in ;
812
wire        pcit_sm_frame_reg_in                =   pciu_pciif_frame_reg_in ;
813
wire        pcit_sm_irdy_reg_in                 =   pciu_pciif_irdy_reg_in ;
814
wire        pcit_sm_idsel_reg_in                =   pciu_pciif_idsel_reg_in ;
815
wire [31:0] pcit_sm_ad_reg_in                   =   pciu_pciif_ad_reg_in ;
816
wire  [3:0] pcit_sm_cbe_reg_in                  =   pciu_pciif_cbe_reg_in ;
817
wire        pcit_sm_bckp_trdy_en_in             =   pciu_pciif_bckp_trdy_en_in ;
818
wire        pcit_sm_bckp_devsel_in              =   pciu_pciif_bckp_devsel_in ;
819
wire        pcit_sm_bckp_trdy_in                =   pciu_pciif_bckp_trdy_in ;
820
wire        pcit_sm_bckp_stop_in                =   pciu_pciif_bckp_stop_in ;
821
wire        pcit_sm_addr_claim_in               =   pcit_if_addr_claim_out ;
822
wire [31:0] pcit_sm_data_in                     =   pcit_if_data_out ;
823
wire        pcit_sm_same_read_in                =   pcit_if_same_read_out ;
824
wire        pcit_sm_norm_access_to_config_in    =   pcit_if_norm_access_to_config_out ;
825
wire        pcit_sm_read_completed_in           =   pcit_if_read_completed_out ;
826
wire        pcit_sm_read_processing_in          =   pcit_if_read_processing_out ;
827
wire        pcit_sm_target_abort_in             =   pcit_if_target_abort_out ;
828
wire        pcit_sm_disconect_wo_data_in        =   pcit_if_disconect_wo_data_out ;
829
wire            pcit_sm_disconect_w_data_in                     =       pcit_if_disconect_w_data_out ;
830
wire        pcit_sm_pciw_fifo_full_in           =   pcit_if_pciw_fifo_full_out ;
831
wire        pcit_sm_pcir_fifo_data_err_in       =   pcit_if_pcir_fifo_data_err_out ;
832
wire        pcit_sm_wbw_fifo_empty_in           =   pcit_if_wbw_fifo_empty_out ;
833
wire            pcit_sm_wbu_del_read_comp_pending_in    =       pcit_if_wbu_del_read_comp_pending_out ;
834
wire        pcit_sm_wbu_frame_en_in             =   pciu_wbu_frame_en_in ;
835
wire        pcit_sm_trdy_reg_in                 =   pciu_pciif_trdy_reg_in ;
836
wire        pcit_sm_stop_reg_in                 =   pciu_pciif_stop_reg_in ;
837 2 mihad
 
838 21 mihad
 
839
PCI_TARGET32_SM                 pci_target_sm
840 2 mihad
(
841 21 mihad
    .clk_in                             (pci_clock_in),
842
    .reset_in                           (reset_in),
843
    .pci_frame_in                       (pcit_sm_frame_in),
844
    .pci_irdy_in                        (pcit_sm_irdy_in),
845
    .pci_idsel_in                       (pcit_sm_idsel_in),
846
    .pci_frame_reg_in                   (pcit_sm_frame_reg_in),
847
    .pci_irdy_reg_in                    (pcit_sm_irdy_reg_in),
848
    .pci_idsel_reg_in                   (pcit_sm_idsel_reg_in),
849
    .pci_trdy_out                       (pcit_sm_trdy_out),
850
    .pci_stop_out                       (pcit_sm_stop_out),
851
    .pci_devsel_out                     (pcit_sm_devsel_out),
852
    .pci_trdy_en_out                    (pcit_sm_trdy_en_out),
853
    .pci_stop_en_out                    (pcit_sm_stop_en_out),
854
    .pci_devsel_en_out                  (pcit_sm_devsel_en_out),
855
    .ad_load_out                        (pcit_sm_ad_load_out),
856
    .ad_load_on_transfer_out            (pcit_sm_ad_load_on_transfer_out),
857
    .pci_ad_reg_in                      (pcit_sm_ad_reg_in),
858
    .pci_ad_out                         (pcit_sm_ad_out),
859
    .pci_ad_en_out                      (pcit_sm_ad_en_out),
860
    .pci_cbe_reg_in                     (pcit_sm_cbe_reg_in),
861
    .bckp_trdy_en_in                    (pcit_sm_bckp_trdy_en_in),
862
    .bckp_devsel_in                     (pcit_sm_bckp_devsel_in),
863
    .bckp_trdy_in                       (pcit_sm_bckp_trdy_in),
864
    .bckp_stop_in                       (pcit_sm_bckp_stop_in),
865
    .pci_trdy_reg_in                    (pcit_sm_trdy_reg_in),
866
    .pci_stop_reg_in                    (pcit_sm_stop_reg_in),
867
    .address_out                        (pcit_sm_address_out),
868
    .addr_claim_in                      (pcit_sm_addr_claim_in),
869
    .bc_out                             (pcit_sm_bc_out),
870
    .bc0_out                            (pcit_sm_bc0_out),
871
    .data_out                           (pcit_sm_data_out),
872
    .data_in                            (pcit_sm_data_in),
873
    .be_out                             (pcit_sm_be_out),
874
    .req_out                            (pcit_sm_req_out),
875
    .rdy_out                            (pcit_sm_rdy_out),
876
    .addr_phase_out                     (pcit_sm_addr_phase_out),
877
    .bckp_devsel_out                                    (pcit_sm_bckp_devsel_out),
878
    .bckp_trdy_out                      (pcit_sm_bckp_trdy_out),
879
    .bckp_stop_out                                              (pcit_sm_bckp_stop_out),
880
    .last_reg_out                       (pcit_sm_last_reg_out),
881
    .frame_reg_out                      (pcit_sm_frame_reg_out),
882
    .fetch_pcir_fifo_out                (pcit_sm_fetch_pcir_fifo_out),
883
    .load_medium_reg_out                (pcit_sm_load_medium_reg_out),
884
    .sel_fifo_mreg_out                  (pcit_sm_sel_fifo_mreg_out),
885
    .sel_conf_fifo_out                  (pcit_sm_sel_conf_fifo_out),
886
    .fetch_conf_out                     (pcit_sm_fetch_conf_out),
887
    .load_to_pciw_fifo_out              (pcit_sm_load_to_pciw_fifo_out),
888
    .load_to_conf_out                   (pcit_sm_load_to_conf_out),
889
    .same_read_in                       (pcit_sm_same_read_in),
890
    .norm_access_to_config_in           (pcit_sm_norm_access_to_config_in),
891
    .read_completed_in                  (pcit_sm_read_completed_in),
892
    .read_processing_in                 (pcit_sm_read_processing_in),
893
    .target_abort_in                    (pcit_sm_target_abort_in),
894
    .disconect_wo_data_in               (pcit_sm_disconect_wo_data_in),
895
    .disconect_w_data_in                                (pcit_sm_disconect_w_data_in),
896
    .target_abort_set_out               (pcit_sm_target_abort_set_out),
897
    .pciw_fifo_full_in                  (pcit_sm_pciw_fifo_full_in),
898
    .pcir_fifo_data_err_in              (pcit_sm_pcir_fifo_data_err_in),
899
    .wbw_fifo_empty_in                  (pcit_sm_wbw_fifo_empty_in),
900
    .wbu_del_read_comp_pending_in               (pcit_sm_wbu_del_read_comp_pending_in),
901
    .wbu_frame_en_in                    (pcit_sm_wbu_frame_en_in)
902 2 mihad
) ;
903
 
904 33 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.