OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [pci_target_unit.v] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name: pci_target_unit.v                                ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Tadej Markovic, tadej@opencores.org                   ////
10
////                                                              ////
11
////  All additional information is avaliable in the README.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2000 Tadej Markovic, tadej@opencores.org       ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 33 mihad
// Revision 1.4  2002/02/19 16:32:37  mihad
46
// Modified testbench and fixed some bugs
47
//
48 26 mihad
// Revision 1.3  2002/02/01 15:25:13  mihad
49
// Repaired a few bugs, updated specification, added test bench files and design document
50
//
51 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
52
// Updated all files with inclusion of timescale file for simulation purposes.
53
//
54 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
55
// New project directory structure
56 2 mihad
//
57 6 mihad
//
58 2 mihad
 
59
// Module instantiates and connects other modules lower in hierarcy
60
// PCI target unit consists of modules that together form datapath
61
// between external WISHBONE slaves and external PCI initiators
62 21 mihad
`include "pci_constants.v"
63
 
64
// synopsys translate_off
65 6 mihad
`include "timescale.v"
66 21 mihad
// synopsys translate_on
67 2 mihad
 
68
module PCI_TARGET_UNIT
69
(
70
    reset_in,
71
    wb_clock_in,
72
    pci_clock_in,
73
    ADR_O,
74
    MDATA_O,
75
    MDATA_I,
76
    CYC_O,
77
    STB_O,
78
    WE_O,
79
    SEL_O,
80
    ACK_I,
81
    RTY_I,
82
    ERR_I,
83
    CAB_O,
84 21 mihad
    pciu_mem_enable_in,
85
    pciu_io_enable_in,
86 2 mihad
    pciu_map_in,
87
    pciu_pref_en_in,
88 21 mihad
    pciu_conf_data_in,
89 2 mihad
    pciu_wbw_fifo_empty_in,
90 21 mihad
    pciu_wbu_del_read_comp_pending_in,
91 2 mihad
    pciu_wbu_frame_en_in,
92 21 mihad
    pciu_bar0_in,
93
    pciu_bar1_in,
94
    pciu_bar2_in,
95
    pciu_bar3_in,
96
    pciu_bar4_in,
97
    pciu_bar5_in,
98
    pciu_am0_in,
99
    pciu_am1_in,
100
    pciu_am2_in,
101
    pciu_am3_in,
102
    pciu_am4_in,
103
    pciu_am5_in,
104
    pciu_ta0_in,
105
    pciu_ta1_in,
106
    pciu_ta2_in,
107
    pciu_ta3_in,
108
    pciu_ta4_in,
109
    pciu_ta5_in,
110
    pciu_at_en_in,
111
    pciu_cache_line_size_in,
112
    pciu_cache_lsize_not_zero_in,
113
    pciu_pciif_frame_in,
114
    pciu_pciif_irdy_in,
115
    pciu_pciif_idsel_in,
116
    pciu_pciif_frame_reg_in,
117
    pciu_pciif_irdy_reg_in,
118
    pciu_pciif_idsel_reg_in,
119
    pciu_pciif_ad_reg_in,
120
    pciu_pciif_cbe_reg_in,
121
    pciu_pciif_bckp_trdy_en_in,
122
    pciu_pciif_bckp_devsel_in,
123
    pciu_pciif_bckp_trdy_in,
124
    pciu_pciif_bckp_stop_in,
125
    pciu_pciif_trdy_reg_in,
126
    pciu_pciif_stop_reg_in,
127
    pciu_pciif_trdy_out,
128
    pciu_pciif_stop_out,
129
    pciu_pciif_devsel_out,
130
    pciu_pciif_trdy_en_out,
131
    pciu_pciif_stop_en_out,
132
    pciu_pciif_devsel_en_out,
133
    pciu_ad_load_out,
134
    pciu_ad_load_on_transfer_out,
135
    pciu_pciif_ad_out,
136
    pciu_pciif_ad_en_out,
137
    pciu_pciif_tabort_set_out,
138
    pciu_err_addr_out,
139 2 mihad
    pciu_err_bc_out,
140
    pciu_err_data_out,
141 21 mihad
    pciu_err_be_out,
142
    pciu_err_signal_out,
143
    pciu_err_source_out,
144 2 mihad
    pciu_err_rty_exp_out,
145
    pciu_conf_offset_out,
146
    pciu_conf_renable_out,
147
    pciu_conf_wenable_out,
148 21 mihad
    pciu_conf_be_out,
149
    pciu_conf_data_out,
150 2 mihad
    pciu_conf_select_out,
151
    pciu_pci_drcomp_pending_out,
152
    pciu_pciw_fifo_empty_out
153
);
154
 
155
input reset_in,
156
      wb_clock_in,
157
      pci_clock_in ;
158
 
159 21 mihad
output  [31:0]  ADR_O   ;
160 2 mihad
output  [31:0]  MDATA_O ;
161
input   [31:0]  MDATA_I ;
162
output          CYC_O   ;
163
output          STB_O   ;
164
output          WE_O    ;
165
output  [3:0]   SEL_O   ;
166
input           ACK_I   ;
167
input           RTY_I   ;
168
input           ERR_I   ;
169
output          CAB_O   ;
170
 
171
input           pciu_wbw_fifo_empty_in ;
172 21 mihad
input                   pciu_wbu_del_read_comp_pending_in ;
173
input           pciu_wbu_frame_en_in ;
174 2 mihad
 
175
input           pciu_mem_enable_in ;
176
input           pciu_io_enable_in ;
177
input   [5:0]   pciu_map_in ;
178
input   [5:0]   pciu_pref_en_in ;
179
input   [31:0]  pciu_conf_data_in ;
180
 
181 21 mihad
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in ;
182
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in ;
183
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in ;
184
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in ;
185
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in ;
186
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in ;
187
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in ;
188
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in ;
189
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in ;
190
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in ;
191
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in ;
192
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in ;
193
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in ;
194
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in ;
195
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in ;
196
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in ;
197
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in ;
198
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in ;
199 2 mihad
input   [5:0]                               pciu_at_en_in ;
200
 
201
input   [7:0]   pciu_cache_line_size_in ;
202 21 mihad
input           pciu_cache_lsize_not_zero_in ;
203 2 mihad
 
204 21 mihad
input           pciu_pciif_frame_in ;
205
input           pciu_pciif_irdy_in ;
206 2 mihad
input           pciu_pciif_idsel_in ;
207
input           pciu_pciif_frame_reg_in ;
208
input           pciu_pciif_irdy_reg_in ;
209
input           pciu_pciif_idsel_reg_in ;
210
input  [31:0]   pciu_pciif_ad_reg_in ;
211
input   [3:0]   pciu_pciif_cbe_reg_in ;
212 21 mihad
input           pciu_pciif_bckp_trdy_en_in ;
213
input           pciu_pciif_bckp_devsel_in ;
214
input           pciu_pciif_bckp_trdy_in ;
215
input           pciu_pciif_bckp_stop_in ;
216
input           pciu_pciif_trdy_reg_in ;
217
input           pciu_pciif_stop_reg_in ;
218 2 mihad
 
219
 
220 21 mihad
output          pciu_pciif_trdy_out ;
221
output          pciu_pciif_stop_out ;
222
output          pciu_pciif_devsel_out ;
223 2 mihad
output          pciu_pciif_trdy_en_out ;
224
output          pciu_pciif_stop_en_out ;
225
output          pciu_pciif_devsel_en_out ;
226 21 mihad
output          pciu_ad_load_out ;
227
output          pciu_ad_load_on_transfer_out ;
228
output [31:0]   pciu_pciif_ad_out ;
229
output          pciu_pciif_ad_en_out ;
230
output          pciu_pciif_tabort_set_out ;
231 2 mihad
 
232
output  [31:0]  pciu_err_addr_out ;
233
output  [3:0]   pciu_err_bc_out ;
234 21 mihad
output  [31:0]  pciu_err_data_out ;
235
output  [3:0]   pciu_err_be_out ;
236 2 mihad
output          pciu_err_signal_out ;
237
output          pciu_err_source_out ;
238
output          pciu_err_rty_exp_out ;
239
 
240 21 mihad
output          pciu_conf_select_out ;
241 2 mihad
output  [11:0]  pciu_conf_offset_out ;
242
output          pciu_conf_renable_out ;
243
output          pciu_conf_wenable_out ;
244
output  [3:0]   pciu_conf_be_out ;
245
output  [31:0]  pciu_conf_data_out ;
246
 
247 21 mihad
output          pciu_pci_drcomp_pending_out ;
248
output          pciu_pciw_fifo_empty_out ;
249 2 mihad
 
250
 
251
// pci target state machine and interface outputs
252
wire        pcit_sm_trdy_out ;
253
wire        pcit_sm_stop_out ;
254
wire        pcit_sm_devsel_out ;
255
wire        pcit_sm_trdy_en_out ;
256
wire        pcit_sm_stop_en_out ;
257
wire        pcit_sm_devsel_en_out ;
258 21 mihad
wire        pcit_sm_ad_load_out ;
259
wire        pcit_sm_ad_load_on_transfer_out ;
260 2 mihad
wire [31:0] pcit_sm_ad_out ;
261
wire        pcit_sm_ad_en_out ;
262
wire [31:0] pcit_sm_address_out ;
263
wire  [3:0] pcit_sm_bc_out ;
264 21 mihad
wire        pcit_sm_bc0_out ;
265 2 mihad
wire [31:0] pcit_sm_data_out ;
266
wire  [3:0] pcit_sm_be_out ;
267
wire        pcit_sm_req_out ;
268
wire        pcit_sm_rdy_out ;
269 21 mihad
wire        pcit_sm_addr_phase_out ;
270
wire            pcit_sm_bckp_devsel_out ;
271
wire        pcit_sm_bckp_trdy_out ;
272
wire            pcit_sm_bckp_stop_out ;
273
wire        pcit_sm_last_reg_out ;
274
wire        pcit_sm_frame_reg_out ;
275
wire        pcit_sm_fetch_pcir_fifo_out ;
276
wire        pcit_sm_load_medium_reg_out ;
277
wire        pcit_sm_sel_fifo_mreg_out ;
278
wire        pcit_sm_sel_conf_fifo_out ;
279
wire        pcit_sm_fetch_conf_out ;
280
wire        pcit_sm_load_to_pciw_fifo_out ;
281
wire        pcit_sm_load_to_conf_out ;
282 2 mihad
 
283 21 mihad
wire        pcit_sm_target_abort_set_out ; // to conf space
284 2 mihad
 
285 21 mihad
assign  pciu_pciif_trdy_out             =   pcit_sm_trdy_out ;
286
assign  pciu_pciif_stop_out             =   pcit_sm_stop_out ;
287
assign  pciu_pciif_devsel_out           =   pcit_sm_devsel_out ;
288
assign  pciu_pciif_trdy_en_out          =   pcit_sm_trdy_en_out ;
289
assign  pciu_pciif_stop_en_out          =   pcit_sm_stop_en_out ;
290
assign  pciu_pciif_devsel_en_out        =   pcit_sm_devsel_en_out ;
291
assign  pciu_ad_load_out                =   pcit_sm_ad_load_out ;
292
assign  pciu_ad_load_on_transfer_out    =   pcit_sm_ad_load_on_transfer_out ;
293
assign  pciu_pciif_ad_out               =   pcit_sm_ad_out ;
294
assign  pciu_pciif_ad_en_out            =   pcit_sm_ad_en_out ;
295
assign  pciu_pciif_tabort_set_out       =   pcit_sm_target_abort_set_out ;
296 2 mihad
 
297
wire        pcit_if_addr_claim_out ;
298
wire [31:0] pcit_if_data_out ;
299
wire        pcit_if_same_read_out ;
300
wire        pcit_if_norm_access_to_config_out ;
301
wire        pcit_if_read_completed_out ;
302
wire        pcit_if_read_processing_out ;
303
wire        pcit_if_target_abort_out ;
304
wire        pcit_if_disconect_wo_data_out ;
305 21 mihad
wire            pcit_if_disconect_w_data_out ;
306 2 mihad
wire        pcit_if_pciw_fifo_full_out ;
307
wire        pcit_if_pcir_fifo_data_err_out ;
308
wire        pcit_if_wbw_fifo_empty_out ;
309 21 mihad
wire            pcit_if_wbu_del_read_comp_pending_out ;
310 2 mihad
wire        pcit_if_req_out ;
311
wire        pcit_if_done_out ;
312
wire        pcit_if_in_progress_out ;
313
wire [31:0] pcit_if_addr_out ;
314
wire  [3:0] pcit_if_be_out ;
315
wire        pcit_if_we_out ;
316
wire  [3:0] pcit_if_bc_out ;
317 21 mihad
wire        pcit_if_burst_ok_out ;
318 2 mihad
wire        pcit_if_pcir_fifo_renable_out ;
319
wire        pcit_if_pcir_fifo_flush_out ;
320
wire        pcit_if_pciw_fifo_wenable_out ;
321
wire [31:0] pcit_if_pciw_fifo_addr_data_out ;
322
wire  [3:0] pcit_if_pciw_fifo_cbe_out ;
323
wire  [3:0] pcit_if_pciw_fifo_control_out ;
324
wire        pcit_if_conf_hit_out ;
325
wire [11:0] pcit_if_conf_addr_out ;
326
wire [31:0] pcit_if_conf_data_out ;
327
wire  [3:0] pcit_if_conf_be_out ;
328
wire        pcit_if_conf_we_out ;
329
wire        pcit_if_conf_re_out ;
330
 
331
// pci target state machine outputs
332
// pci interface signals
333 21 mihad
assign  pciu_conf_select_out    =   pcit_if_conf_hit_out ;
334
assign  pciu_conf_offset_out    =   pcit_if_conf_addr_out ;
335
assign  pciu_conf_renable_out   =   pcit_if_conf_re_out ;
336
assign  pciu_conf_wenable_out   =   pcit_if_conf_we_out ;
337
assign  pciu_conf_be_out        =   pcit_if_conf_be_out ;
338
assign  pciu_conf_data_out      =   pcit_if_conf_data_out ;
339 2 mihad
 
340
// wishbone master state machine outputs
341 21 mihad
wire        wbm_sm_wb_read_done ;
342 26 mihad
wire            wbm_sm_write_attempt ;
343 2 mihad
wire        wbm_sm_pcir_fifo_wenable_out ;
344
wire [31:0] wbm_sm_pcir_fifo_data_out ;
345
wire  [3:0] wbm_sm_pcir_fifo_be_out ;
346
wire  [3:0] wbm_sm_pcir_fifo_control_out ;
347
wire        wbm_sm_pciw_fifo_renable_out ;
348
wire        wbm_sm_pci_error_sig_out ;
349
wire  [3:0] wbm_sm_pci_error_bc ;
350
wire        wbm_sm_write_rty_cnt_exp_out ;
351 21 mihad
wire        wbm_sm_error_source_out ;
352 2 mihad
wire        wbm_sm_read_rty_cnt_exp_out ;
353
wire        wbm_sm_cyc_out ;
354
wire        wbm_sm_stb_out ;
355
wire        wbm_sm_we_out ;
356
wire  [3:0] wbm_sm_sel_out ;
357
wire [31:0] wbm_sm_adr_out ;
358
wire [31:0] wbm_sm_mdata_out ;
359
wire        wbm_sm_cab_out ;
360
 
361 21 mihad
assign  pciu_err_addr_out       =   wbm_sm_adr_out ;
362
assign  pciu_err_bc_out         =   wbm_sm_pci_error_bc ;
363
assign  pciu_err_data_out       =   wbm_sm_mdata_out ;
364
assign  pciu_err_be_out         =   ~wbm_sm_sel_out ;
365
assign  pciu_err_signal_out     =   wbm_sm_pci_error_sig_out ;
366
assign  pciu_err_source_out     =   wbm_sm_error_source_out ;
367
assign  pciu_err_rty_exp_out    =   wbm_sm_write_rty_cnt_exp_out ;
368 2 mihad
 
369 21 mihad
assign  ADR_O       =   wbm_sm_adr_out ;
370
assign  MDATA_O     =   wbm_sm_mdata_out ;
371
assign  CYC_O       =   wbm_sm_cyc_out ;
372
assign  STB_O       =   wbm_sm_stb_out ;
373
assign  WE_O        =   wbm_sm_we_out ;
374
assign  SEL_O       =   wbm_sm_sel_out ;
375
assign  CAB_O       =   wbm_sm_cab_out ;
376 2 mihad
 
377
// pciw_pcir fifo outputs
378
 
379
// pciw_fifo_outputs:
380
wire [31:0] fifos_pciw_addr_data_out ;
381
wire [3:0]  fifos_pciw_cbe_out ;
382
wire [3:0]  fifos_pciw_control_out ;
383 21 mihad
wire        fifos_pciw_two_left_out ;
384 2 mihad
wire        fifos_pciw_almost_full_out ;
385
wire        fifos_pciw_full_out ;
386 21 mihad
wire        fifos_pciw_almost_empty_out ;
387 2 mihad
wire        fifos_pciw_empty_out ;
388
wire        fifos_pciw_transaction_ready_out ;
389
 
390 26 mihad
assign  pciu_pciw_fifo_empty_out = !wbm_sm_write_attempt;
391 2 mihad
 
392
// pcir_fifo_outputs
393
wire [31:0] fifos_pcir_data_out ;
394
wire [3:0]  fifos_pcir_be_out ;
395
wire [3:0]  fifos_pcir_control_out ;
396
wire        fifos_pcir_almost_empty_out ;
397 21 mihad
wire        fifos_pcir_empty_out ;
398 2 mihad
 
399
// delayed transaction logic outputs
400
wire [31:0] del_sync_addr_out ;
401
wire [3:0]  del_sync_be_out ;
402
wire        del_sync_we_out ;
403
wire        del_sync_comp_req_pending_out ;
404
wire        del_sync_comp_comp_pending_out ;
405
wire        del_sync_req_req_pending_out ;
406
wire        del_sync_req_comp_pending_out ;
407
wire [3:0]  del_sync_bc_out ;
408
wire        del_sync_status_out ;
409
wire        del_sync_comp_flush_out ;
410
wire        del_sync_burst_out ;
411
 
412 21 mihad
assign  pciu_pci_drcomp_pending_out = del_sync_comp_comp_pending_out ;
413 2 mihad
 
414 21 mihad
// WISHBONE master interface inputs
415
wire        wbm_sm_pci_tar_read_request             =   del_sync_comp_req_pending_out ;
416
wire [31:0] wbm_sm_pci_tar_address                  =   del_sync_addr_out ;
417
wire  [3:0] wbm_sm_pci_tar_cmd                      =   del_sync_bc_out ;
418
wire  [3:0] wbm_sm_pci_tar_be                       =   del_sync_be_out ;
419
wire        wbm_sm_pci_tar_burst_ok                 =   del_sync_burst_out ;
420
wire  [7:0] wbm_sm_pci_cache_line_size              =   pciu_cache_line_size_in ;
421
wire        wbm_sm_cache_lsize_not_zero_in          =   pciu_cache_lsize_not_zero_in ;
422
wire [31:0] wbm_sm_pciw_fifo_addr_data_in           =   fifos_pciw_addr_data_out ;
423
wire  [3:0] wbm_sm_pciw_fifo_cbe_in                 =   fifos_pciw_cbe_out ;
424
wire  [3:0] wbm_sm_pciw_fifo_control_in             =   fifos_pciw_control_out ;
425
wire        wbm_sm_pciw_fifo_almost_empty_in        =   fifos_pciw_almost_empty_out ;
426
wire        wbm_sm_pciw_fifo_empty_in               =   fifos_pciw_empty_out ;
427
wire        wbm_sm_pciw_fifo_transaction_ready_in   =   fifos_pciw_transaction_ready_out ;
428
wire [31:0] wbm_sm_mdata_in                         =   MDATA_I ;
429
wire        wbm_sm_ack_in                           =   ACK_I ;
430
wire        wbm_sm_rty_in                           =   RTY_I ;
431
wire        wbm_sm_err_in                           =   ERR_I ;
432 2 mihad
 
433
// WISHBONE master interface instantiation
434
WB_MASTER wishbone_master
435
(
436 21 mihad
    .wb_clock_in                    (wb_clock_in),
437
    .reset_in                       (reset_in),
438
    .pci_tar_read_request           (wbm_sm_pci_tar_read_request),  //in
439
    .pci_tar_address                (wbm_sm_pci_tar_address),       //in
440
    .pci_tar_cmd                    (wbm_sm_pci_tar_cmd),           //in
441
    .pci_tar_be                     (wbm_sm_pci_tar_be),            //in
442
    .pci_tar_burst_ok                           (wbm_sm_pci_tar_burst_ok),              //in
443
    .pci_cache_line_size            (wbm_sm_pci_cache_line_size),   //in
444
    .cache_lsize_not_zero           (wbm_sm_cache_lsize_not_zero_in),
445
    .wb_read_done_out               (wbm_sm_wb_read_done),          //out
446 26 mihad
    .w_attempt                                          (wbm_sm_write_attempt),                 //out
447 21 mihad
    .pcir_fifo_wenable_out          (wbm_sm_pcir_fifo_wenable_out),
448
    .pcir_fifo_data_out             (wbm_sm_pcir_fifo_data_out),
449
    .pcir_fifo_be_out               (wbm_sm_pcir_fifo_be_out),
450
    .pcir_fifo_control_out          (wbm_sm_pcir_fifo_control_out),
451
    .pciw_fifo_renable_out          (wbm_sm_pciw_fifo_renable_out),
452
    .pciw_fifo_addr_data_in         (wbm_sm_pciw_fifo_addr_data_in),
453
    .pciw_fifo_cbe_in               (wbm_sm_pciw_fifo_cbe_in),
454
    .pciw_fifo_control_in           (wbm_sm_pciw_fifo_control_in),
455
    .pciw_fifo_almost_empty_in      (wbm_sm_pciw_fifo_almost_empty_in),
456
    .pciw_fifo_empty_in             (wbm_sm_pciw_fifo_empty_in),
457
    .pciw_fifo_transaction_ready_in (wbm_sm_pciw_fifo_transaction_ready_in),
458
    .pci_error_sig_out              (wbm_sm_pci_error_sig_out),
459
    .pci_error_bc                   (wbm_sm_pci_error_bc),
460
    .write_rty_cnt_exp_out          (wbm_sm_write_rty_cnt_exp_out),
461
    .error_source_out               (wbm_sm_error_source_out),
462
    .read_rty_cnt_exp_out           (wbm_sm_read_rty_cnt_exp_out),
463
    .CYC_O                          (wbm_sm_cyc_out),
464
    .STB_O                          (wbm_sm_stb_out),
465
    .WE_O                           (wbm_sm_we_out),
466
    .SEL_O                          (wbm_sm_sel_out),
467
    .ADR_O                          (wbm_sm_adr_out),
468
    .MDATA_I                        (wbm_sm_mdata_in),
469
    .MDATA_O                        (wbm_sm_mdata_out),
470
    .ACK_I                          (wbm_sm_ack_in),
471
    .RTY_I                          (wbm_sm_rty_in),
472
    .ERR_I                          (wbm_sm_err_in),
473
    .CAB_O                          (wbm_sm_cab_out)
474 2 mihad
);
475
 
476
// pciw_pcir_fifos inputs
477
// PCIW_FIFO inputs
478 21 mihad
wire        fifos_pciw_wenable_in       =   pcit_if_pciw_fifo_wenable_out ;
479
wire [31:0] fifos_pciw_addr_data_in     =   pcit_if_pciw_fifo_addr_data_out ;
480
wire [3:0]  fifos_pciw_cbe_in           =   pcit_if_pciw_fifo_cbe_out ;
481
wire [3:0]  fifos_pciw_control_in       =   pcit_if_pciw_fifo_control_out ;
482
wire        fifos_pciw_renable_in       =   wbm_sm_pciw_fifo_renable_out ;
483
wire        fifos_pciw_flush_in         =   1'b0 ;
484 2 mihad
 
485
// PCIR_FIFO inputs
486 21 mihad
wire        fifos_pcir_wenable_in       =   wbm_sm_pcir_fifo_wenable_out ;
487
wire [31:0] fifos_pcir_data_in          =   wbm_sm_pcir_fifo_data_out ;
488
wire [3:0]  fifos_pcir_be_in            =   wbm_sm_pcir_fifo_be_out ;
489
wire [3:0]  fifos_pcir_control_in       =   wbm_sm_pcir_fifo_control_out ;
490
wire        fifos_pcir_renable_in       =   pcit_if_pcir_fifo_renable_out ;
491
wire        fifos_pcir_flush_in         =   pcit_if_pcir_fifo_flush_out ;
492 2 mihad
 
493
// PCIW_FIFO and PCIR_FIFO instantiation
494
PCIW_PCIR_FIFOS fifos
495
(
496 21 mihad
    .wb_clock_in                (wb_clock_in),
497
    .pci_clock_in               (pci_clock_in),
498
    .reset_in                   (reset_in),
499
    .pciw_wenable_in            (fifos_pciw_wenable_in),      //for PCI Target !!!
500
    .pciw_addr_data_in          (fifos_pciw_addr_data_in),    //for PCI Target !!!
501
    .pciw_cbe_in                (fifos_pciw_cbe_in),          //for PCI Target !!!
502
    .pciw_control_in            (fifos_pciw_control_in),      //for PCI Target !!!
503
    .pciw_renable_in            (fifos_pciw_renable_in),
504
    .pciw_addr_data_out         (fifos_pciw_addr_data_out),
505
    .pciw_cbe_out               (fifos_pciw_cbe_out),
506
    .pciw_control_out           (fifos_pciw_control_out),
507
    .pciw_flush_in              (fifos_pciw_flush_in),
508
    .pciw_two_left_out          (fifos_pciw_two_left_out),    //for PCI Target !!!
509
    .pciw_almost_full_out       (fifos_pciw_almost_full_out), //for PCI Target !!!
510
    .pciw_full_out              (fifos_pciw_full_out),        //for PCI Target !!!
511
    .pciw_almost_empty_out      (fifos_pciw_almost_empty_out),
512
    .pciw_empty_out             (fifos_pciw_empty_out),
513
    .pciw_transaction_ready_out (fifos_pciw_transaction_ready_out),
514
    .pcir_wenable_in            (fifos_pcir_wenable_in),
515
    .pcir_data_in               (fifos_pcir_data_in),
516
    .pcir_be_in                 (fifos_pcir_be_in),
517
    .pcir_control_in            (fifos_pcir_control_in),
518
    .pcir_renable_in            (fifos_pcir_renable_in),      //for PCI Target !!!
519
    .pcir_data_out              (fifos_pcir_data_out),        //for PCI Target !!!
520
    .pcir_be_out                (fifos_pcir_be_out),          //for PCI Target !!!
521
    .pcir_control_out           (fifos_pcir_control_out),     //for PCI Target !!!
522
    .pcir_flush_in              (fifos_pcir_flush_in),        //for PCI Target !!!
523 26 mihad
    .pcir_full_out              (),
524 21 mihad
    .pcir_almost_empty_out      (fifos_pcir_almost_empty_out), //for PCI Target !!!
525
    .pcir_empty_out             (fifos_pcir_empty_out),        //for PCI Target !!!
526
    .pcir_transaction_ready_out ()
527 2 mihad
) ;
528
 
529
// delayed transaction logic inputs
530 21 mihad
wire        del_sync_req_in             =   pcit_if_req_out ;
531
wire        del_sync_comp_in            =   wbm_sm_wb_read_done ;
532
wire        del_sync_done_in            =   pcit_if_done_out ;
533
wire        del_sync_in_progress_in     =   pcit_if_in_progress_out ;
534
wire [31:0] del_sync_addr_in            =   pcit_if_addr_out ;
535
wire  [3:0] del_sync_be_in              =   pcit_if_be_out ;
536
wire        del_sync_we_in              =   pcit_if_we_out ;
537
wire  [3:0] del_sync_bc_in              =   pcit_if_bc_out ;
538
wire        del_sync_status_in          =   1'b0 ;
539
wire        del_sync_burst_in           =   pcit_if_burst_ok_out ;
540
wire        del_sync_retry_expired_in   =   wbm_sm_read_rty_cnt_exp_out ;
541 2 mihad
 
542
// delayed transaction logic instantiation
543 21 mihad
DELAYED_SYNC                del_sync
544 2 mihad
(
545 21 mihad
    .reset_in               (reset_in),
546
    .req_clk_in             (pci_clock_in),
547
    .comp_clk_in            (wb_clock_in),
548
    .req_in                 (del_sync_req_in),
549
    .comp_in                (del_sync_comp_in),
550
    .done_in                (del_sync_done_in),
551
    .in_progress_in         (del_sync_in_progress_in),
552
    .comp_req_pending_out   (del_sync_comp_req_pending_out),
553
    .comp_comp_pending_out  (del_sync_comp_comp_pending_out),
554
    .req_req_pending_out    (del_sync_req_req_pending_out),
555
    .req_comp_pending_out   (del_sync_req_comp_pending_out),
556
    .addr_in                (del_sync_addr_in),
557
    .be_in                  (del_sync_be_in),
558
    .addr_out               (del_sync_addr_out),
559
    .be_out                 (del_sync_be_out),
560
    .we_in                  (del_sync_we_in),
561
    .we_out                 (del_sync_we_out),
562
    .bc_in                  (del_sync_bc_in),
563
    .bc_out                 (del_sync_bc_out),
564
    .status_in              (del_sync_status_in),
565
    .status_out             (del_sync_status_out),
566
    .comp_flush_out         (del_sync_comp_flush_out),
567
    .burst_in               (del_sync_burst_in),
568
    .burst_out              (del_sync_burst_out),
569
    .retry_expired_in       (del_sync_retry_expired_in)
570 2 mihad
);
571
 
572
// pci target interface inputs
573 21 mihad
wire [31:0] pcit_if_address_in                      =   pcit_sm_address_out ;
574
wire  [3:0] pcit_if_bc_in                           =   pcit_sm_bc_out ;
575
wire        pcit_if_bc0_in                          =   pcit_sm_bc0_out ;
576
wire [31:0] pcit_if_data_in                         =   pcit_sm_data_out ;
577
wire  [3:0] pcit_if_be_in                           =   pcit_sm_be_out ;
578
wire        pcit_if_req_in                          =   pcit_sm_req_out ;
579
wire        pcit_if_rdy_in                          =   pcit_sm_rdy_out ;
580
wire        pcit_if_addr_phase_in                   =   pcit_sm_addr_phase_out ;
581
wire            pcit_if_bckp_devsel_in                                  =       pcit_sm_bckp_devsel_out ;
582
wire        pcit_if_bckp_trdy_in                    =   pcit_sm_bckp_trdy_out ;
583
wire            pcit_if_bckp_stop_in                                    =       pcit_sm_bckp_stop_out ;
584
wire        pcit_if_last_reg_in                     =   pcit_sm_last_reg_out ;
585
wire        pcit_if_frame_reg_in                    =   pcit_sm_frame_reg_out ;
586
wire        pcit_if_fetch_pcir_fifo_in              =   pcit_sm_fetch_pcir_fifo_out ;
587
wire        pcit_if_load_medium_reg_in              =   pcit_sm_load_medium_reg_out ;
588
wire        pcit_if_sel_fifo_mreg_in                =   pcit_sm_sel_fifo_mreg_out ;
589
wire        pcit_if_sel_conf_fifo_in                =   pcit_sm_sel_conf_fifo_out ;
590
wire        pcit_if_fetch_conf_in                   =   pcit_sm_fetch_conf_out ;
591
wire        pcit_if_load_to_pciw_fifo_in            =   pcit_sm_load_to_pciw_fifo_out ;
592
wire        pcit_if_load_to_conf_in                 =   pcit_sm_load_to_conf_out ;
593
wire        pcit_if_req_req_pending_in              =   del_sync_req_req_pending_out ;
594
wire        pcit_if_req_comp_pending_in             =   del_sync_req_comp_pending_out ;
595
wire        pcit_if_status_in                       =   del_sync_status_out ;
596
wire [31:0] pcit_if_strd_addr_in                    =   del_sync_addr_out ;
597
wire  [3:0] pcit_if_strd_bc_in                      =   del_sync_bc_out ;
598
wire        pcit_if_comp_flush_in                   =   del_sync_comp_flush_out ;
599
wire [31:0] pcit_if_pcir_fifo_data_in               =   fifos_pcir_data_out ;
600
wire  [3:0] pcit_if_pcir_fifo_be_in                 =   fifos_pcir_be_out ;
601
wire  [3:0] pcit_if_pcir_fifo_control_in            =   fifos_pcir_control_out ;
602
wire        pcit_if_pcir_fifo_almost_empty_in       =   fifos_pcir_almost_empty_out ;
603
wire        pcit_if_pcir_fifo_empty_in              =   fifos_pcir_empty_out ;
604
wire        pcit_if_pciw_fifo_two_left_in           =   fifos_pciw_two_left_out ;
605
wire        pcit_if_pciw_fifo_almost_full_in        =   fifos_pciw_almost_full_out ;
606
wire        pcit_if_pciw_fifo_full_in               =   fifos_pciw_full_out ;
607
wire        pcit_if_wbw_fifo_empty_in               =   pciu_wbw_fifo_empty_in ;
608
wire            pcit_if_wbu_del_read_comp_pending_in    =       pciu_wbu_del_read_comp_pending_in ;
609
wire [31:0] pcit_if_conf_data_in                    =   pciu_conf_data_in ;
610
wire        pcit_if_mem_enable_in                   =   pciu_mem_enable_in ;
611
wire        pcit_if_io_enable_in                    =   pciu_io_enable_in ;
612
wire        pcit_if_mem_io_addr_space0_in           =   pciu_map_in[0] ;
613
wire        pcit_if_mem_io_addr_space1_in           =   pciu_map_in[1] ;
614
wire        pcit_if_mem_io_addr_space2_in           =   pciu_map_in[2] ;
615
wire        pcit_if_mem_io_addr_space3_in           =   pciu_map_in[3] ;
616
wire        pcit_if_mem_io_addr_space4_in           =   pciu_map_in[4] ;
617
wire        pcit_if_mem_io_addr_space5_in           =   pciu_map_in[5] ;
618
wire        pcit_if_pre_fetch_en0_in                =   pciu_pref_en_in[0] ;
619
wire        pcit_if_pre_fetch_en1_in                =   pciu_pref_en_in[1] ;
620
wire        pcit_if_pre_fetch_en2_in                =   pciu_pref_en_in[2] ;
621
wire        pcit_if_pre_fetch_en3_in                =   pciu_pref_en_in[3] ;
622
wire        pcit_if_pre_fetch_en4_in                =   pciu_pref_en_in[4] ;
623
wire        pcit_if_pre_fetch_en5_in                =   pciu_pref_en_in[5] ;
624
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr0_in =   pciu_bar0_in ;
625
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr1_in =   pciu_bar1_in ;
626
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr2_in =   pciu_bar2_in ;
627
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr3_in =   pciu_bar3_in ;
628
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr4_in =   pciu_bar4_in ;
629
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr5_in =   pciu_bar5_in ;
630
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask0_in =   pciu_am0_in ;
631
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask1_in =   pciu_am1_in ;
632
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask2_in =   pciu_am2_in ;
633
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask3_in =   pciu_am3_in ;
634
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask4_in =   pciu_am4_in ;
635
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask5_in =   pciu_am5_in ;
636
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr0_in =   pciu_ta0_in ;
637
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr1_in =   pciu_ta1_in ;
638
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr2_in =   pciu_ta2_in ;
639
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr3_in =   pciu_ta3_in ;
640
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr4_in =   pciu_ta4_in ;
641
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr5_in =   pciu_ta5_in ;
642
wire        pcit_if_addr_tran_en0_in                =   pciu_at_en_in[0] ;
643
wire        pcit_if_addr_tran_en1_in                =   pciu_at_en_in[1] ;
644
wire        pcit_if_addr_tran_en2_in                =   pciu_at_en_in[2] ;
645
wire        pcit_if_addr_tran_en3_in                =   pciu_at_en_in[3] ;
646
wire        pcit_if_addr_tran_en4_in                =   pciu_at_en_in[4] ;
647
wire        pcit_if_addr_tran_en5_in                =   pciu_at_en_in[5] ;
648 2 mihad
 
649 21 mihad
PCI_TARGET32_INTERFACE              pci_target_if
650 2 mihad
(
651 21 mihad
    .clk_in                         (pci_clock_in),
652
    .reset_in                       (reset_in),
653
    .address_in                     (pcit_if_address_in),
654
    .addr_claim_out                 (pcit_if_addr_claim_out),
655
    .bc_in                          (pcit_if_bc_in),
656
    .bc0_in                         (pcit_if_bc0_in),
657
    .data_in                        (pcit_if_data_in),
658
    .data_out                       (pcit_if_data_out),
659
    .be_in                          (pcit_if_be_in),
660
    .req_in                         (pcit_if_req_in),
661
    .rdy_in                         (pcit_if_rdy_in),
662
    .addr_phase_in                  (pcit_if_addr_phase_in),
663
    .bckp_devsel_in                 (pcit_if_bckp_devsel_in),
664
    .bckp_trdy_in                   (pcit_if_bckp_trdy_in),
665
    .bckp_stop_in                   (pcit_if_bckp_stop_in),
666
    .last_reg_in                    (pcit_if_last_reg_in),
667
    .frame_reg_in                   (pcit_if_frame_reg_in),
668
    .fetch_pcir_fifo_in             (pcit_if_fetch_pcir_fifo_in),
669
    .load_medium_reg_in             (pcit_if_load_medium_reg_in),
670
    .sel_fifo_mreg_in               (pcit_if_sel_fifo_mreg_in),
671
    .sel_conf_fifo_in               (pcit_if_sel_conf_fifo_in),
672
    .fetch_conf_in                  (pcit_if_fetch_conf_in),
673
    .load_to_pciw_fifo_in           (pcit_if_load_to_pciw_fifo_in),
674
    .load_to_conf_in                (pcit_if_load_to_conf_in),
675
    .same_read_out                  (pcit_if_same_read_out),
676
    .norm_access_to_config_out      (pcit_if_norm_access_to_config_out),
677
    .read_completed_out             (pcit_if_read_completed_out),
678
    .read_processing_out            (pcit_if_read_processing_out),
679
    .target_abort_out               (pcit_if_target_abort_out),
680
    .disconect_wo_data_out          (pcit_if_disconect_wo_data_out),
681
    .disconect_w_data_out                       (pcit_if_disconect_w_data_out),
682
    .pciw_fifo_full_out             (pcit_if_pciw_fifo_full_out),
683
    .pcir_fifo_data_err_out         (pcit_if_pcir_fifo_data_err_out),
684
    .wbw_fifo_empty_out             (pcit_if_wbw_fifo_empty_out),
685
    .wbu_del_read_comp_pending_out      (pcit_if_wbu_del_read_comp_pending_out),
686
    .req_out                        (pcit_if_req_out),
687
    .done_out                       (pcit_if_done_out),
688
    .in_progress_out                (pcit_if_in_progress_out),
689
    .req_req_pending_in             (pcit_if_req_req_pending_in),
690
    .req_comp_pending_in            (pcit_if_req_comp_pending_in),
691
    .addr_out                       (pcit_if_addr_out),
692
    .be_out                         (pcit_if_be_out),
693
    .we_out                         (pcit_if_we_out),
694
    .bc_out                         (pcit_if_bc_out),
695
    .burst_ok_out                   (pcit_if_burst_ok_out),
696
    .strd_addr_in                   (pcit_if_strd_addr_in),
697
    .strd_bc_in                     (pcit_if_strd_bc_in),
698
    .status_in                      (pcit_if_status_in),
699
    .comp_flush_in                  (pcit_if_comp_flush_in),
700
    .pcir_fifo_renable_out          (pcit_if_pcir_fifo_renable_out),
701
    .pcir_fifo_data_in              (pcit_if_pcir_fifo_data_in),
702
    .pcir_fifo_be_in                (pcit_if_pcir_fifo_be_in),
703
    .pcir_fifo_control_in           (pcit_if_pcir_fifo_control_in),
704
    .pcir_fifo_flush_out            (pcit_if_pcir_fifo_flush_out),
705
    .pcir_fifo_almost_empty_in      (pcit_if_pcir_fifo_almost_empty_in),
706
    .pcir_fifo_empty_in             (pcit_if_pcir_fifo_empty_in),
707
    .pciw_fifo_wenable_out          (pcit_if_pciw_fifo_wenable_out),
708
    .pciw_fifo_addr_data_out        (pcit_if_pciw_fifo_addr_data_out),
709
    .pciw_fifo_cbe_out              (pcit_if_pciw_fifo_cbe_out),
710
    .pciw_fifo_control_out          (pcit_if_pciw_fifo_control_out),
711
    .pciw_fifo_two_left_in          (pcit_if_pciw_fifo_two_left_in),
712
    .pciw_fifo_almost_full_in       (pcit_if_pciw_fifo_almost_full_in),
713
    .pciw_fifo_full_in              (pcit_if_pciw_fifo_full_in),
714
    .wbw_fifo_empty_in              (pcit_if_wbw_fifo_empty_in),
715
    .wbu_del_read_comp_pending_in       (pcit_if_wbu_del_read_comp_pending_in),
716
    .conf_hit_out                   (pcit_if_conf_hit_out),
717
    .conf_addr_out                  (pcit_if_conf_addr_out),
718
    .conf_data_out                  (pcit_if_conf_data_out),
719
    .conf_data_in                   (pcit_if_conf_data_in),
720
    .conf_be_out                    (pcit_if_conf_be_out),
721
    .conf_we_out                    (pcit_if_conf_we_out),
722
    .conf_re_out                    (pcit_if_conf_re_out),
723
    .mem_enable_in                  (pcit_if_mem_enable_in),
724
    .io_enable_in                   (pcit_if_io_enable_in),
725
    .mem_io_addr_space0_in          (pcit_if_mem_io_addr_space0_in),
726
    .mem_io_addr_space1_in          (pcit_if_mem_io_addr_space1_in),
727
    .mem_io_addr_space2_in          (pcit_if_mem_io_addr_space2_in),
728
    .mem_io_addr_space3_in          (pcit_if_mem_io_addr_space3_in),
729
    .mem_io_addr_space4_in          (pcit_if_mem_io_addr_space4_in),
730
    .mem_io_addr_space5_in          (pcit_if_mem_io_addr_space5_in),
731
    .pre_fetch_en0_in               (pcit_if_pre_fetch_en0_in),
732
    .pre_fetch_en1_in               (pcit_if_pre_fetch_en1_in),
733
    .pre_fetch_en2_in               (pcit_if_pre_fetch_en2_in),
734
    .pre_fetch_en3_in               (pcit_if_pre_fetch_en3_in),
735
    .pre_fetch_en4_in               (pcit_if_pre_fetch_en4_in),
736
    .pre_fetch_en5_in               (pcit_if_pre_fetch_en5_in),
737
    .pci_base_addr0_in              (pcit_if_pci_base_addr0_in),
738
    .pci_base_addr1_in              (pcit_if_pci_base_addr1_in),
739
    .pci_base_addr2_in              (pcit_if_pci_base_addr2_in),
740
    .pci_base_addr3_in              (pcit_if_pci_base_addr3_in),
741
    .pci_base_addr4_in              (pcit_if_pci_base_addr4_in),
742
    .pci_base_addr5_in              (pcit_if_pci_base_addr5_in),
743
    .pci_addr_mask0_in              (pcit_if_pci_addr_mask0_in),
744
    .pci_addr_mask1_in              (pcit_if_pci_addr_mask1_in),
745
    .pci_addr_mask2_in              (pcit_if_pci_addr_mask2_in),
746
    .pci_addr_mask3_in              (pcit_if_pci_addr_mask3_in),
747
    .pci_addr_mask4_in              (pcit_if_pci_addr_mask4_in),
748
    .pci_addr_mask5_in              (pcit_if_pci_addr_mask5_in),
749
    .pci_tran_addr0_in              (pcit_if_pci_tran_addr0_in),
750
    .pci_tran_addr1_in              (pcit_if_pci_tran_addr1_in),
751
    .pci_tran_addr2_in              (pcit_if_pci_tran_addr2_in),
752
    .pci_tran_addr3_in              (pcit_if_pci_tran_addr3_in),
753
    .pci_tran_addr4_in              (pcit_if_pci_tran_addr4_in),
754
    .pci_tran_addr5_in              (pcit_if_pci_tran_addr5_in),
755
    .addr_tran_en0_in               (pcit_if_addr_tran_en0_in),
756
    .addr_tran_en1_in               (pcit_if_addr_tran_en1_in),
757
    .addr_tran_en2_in               (pcit_if_addr_tran_en2_in),
758
    .addr_tran_en3_in               (pcit_if_addr_tran_en3_in),
759
    .addr_tran_en4_in               (pcit_if_addr_tran_en4_in),
760
    .addr_tran_en5_in               (pcit_if_addr_tran_en5_in)
761 2 mihad
) ;
762
 
763
// pci target state machine inputs
764 21 mihad
wire        pcit_sm_frame_in                    =   pciu_pciif_frame_in ;
765
wire        pcit_sm_irdy_in                     =   pciu_pciif_irdy_in ;
766
wire        pcit_sm_idsel_in                    =   pciu_pciif_idsel_in ;
767
wire        pcit_sm_frame_reg_in                =   pciu_pciif_frame_reg_in ;
768
wire        pcit_sm_irdy_reg_in                 =   pciu_pciif_irdy_reg_in ;
769
wire        pcit_sm_idsel_reg_in                =   pciu_pciif_idsel_reg_in ;
770
wire [31:0] pcit_sm_ad_reg_in                   =   pciu_pciif_ad_reg_in ;
771
wire  [3:0] pcit_sm_cbe_reg_in                  =   pciu_pciif_cbe_reg_in ;
772
wire        pcit_sm_bckp_trdy_en_in             =   pciu_pciif_bckp_trdy_en_in ;
773
wire        pcit_sm_bckp_devsel_in              =   pciu_pciif_bckp_devsel_in ;
774
wire        pcit_sm_bckp_trdy_in                =   pciu_pciif_bckp_trdy_in ;
775
wire        pcit_sm_bckp_stop_in                =   pciu_pciif_bckp_stop_in ;
776
wire        pcit_sm_addr_claim_in               =   pcit_if_addr_claim_out ;
777
wire [31:0] pcit_sm_data_in                     =   pcit_if_data_out ;
778
wire        pcit_sm_same_read_in                =   pcit_if_same_read_out ;
779
wire        pcit_sm_norm_access_to_config_in    =   pcit_if_norm_access_to_config_out ;
780
wire        pcit_sm_read_completed_in           =   pcit_if_read_completed_out ;
781
wire        pcit_sm_read_processing_in          =   pcit_if_read_processing_out ;
782
wire        pcit_sm_target_abort_in             =   pcit_if_target_abort_out ;
783
wire        pcit_sm_disconect_wo_data_in        =   pcit_if_disconect_wo_data_out ;
784
wire            pcit_sm_disconect_w_data_in                     =       pcit_if_disconect_w_data_out ;
785
wire        pcit_sm_pciw_fifo_full_in           =   pcit_if_pciw_fifo_full_out ;
786
wire        pcit_sm_pcir_fifo_data_err_in       =   pcit_if_pcir_fifo_data_err_out ;
787
wire        pcit_sm_wbw_fifo_empty_in           =   pcit_if_wbw_fifo_empty_out ;
788
wire            pcit_sm_wbu_del_read_comp_pending_in    =       pcit_if_wbu_del_read_comp_pending_out ;
789
wire        pcit_sm_wbu_frame_en_in             =   pciu_wbu_frame_en_in ;
790
wire        pcit_sm_trdy_reg_in                 =   pciu_pciif_trdy_reg_in ;
791
wire        pcit_sm_stop_reg_in                 =   pciu_pciif_stop_reg_in ;
792 2 mihad
 
793 21 mihad
 
794
PCI_TARGET32_SM                 pci_target_sm
795 2 mihad
(
796 21 mihad
    .clk_in                             (pci_clock_in),
797
    .reset_in                           (reset_in),
798
    .pci_frame_in                       (pcit_sm_frame_in),
799
    .pci_irdy_in                        (pcit_sm_irdy_in),
800
    .pci_idsel_in                       (pcit_sm_idsel_in),
801
    .pci_frame_reg_in                   (pcit_sm_frame_reg_in),
802
    .pci_irdy_reg_in                    (pcit_sm_irdy_reg_in),
803
    .pci_idsel_reg_in                   (pcit_sm_idsel_reg_in),
804
    .pci_trdy_out                       (pcit_sm_trdy_out),
805
    .pci_stop_out                       (pcit_sm_stop_out),
806
    .pci_devsel_out                     (pcit_sm_devsel_out),
807
    .pci_trdy_en_out                    (pcit_sm_trdy_en_out),
808
    .pci_stop_en_out                    (pcit_sm_stop_en_out),
809
    .pci_devsel_en_out                  (pcit_sm_devsel_en_out),
810
    .ad_load_out                        (pcit_sm_ad_load_out),
811
    .ad_load_on_transfer_out            (pcit_sm_ad_load_on_transfer_out),
812
    .pci_ad_reg_in                      (pcit_sm_ad_reg_in),
813
    .pci_ad_out                         (pcit_sm_ad_out),
814
    .pci_ad_en_out                      (pcit_sm_ad_en_out),
815
    .pci_cbe_reg_in                     (pcit_sm_cbe_reg_in),
816
    .bckp_trdy_en_in                    (pcit_sm_bckp_trdy_en_in),
817
    .bckp_devsel_in                     (pcit_sm_bckp_devsel_in),
818
    .bckp_trdy_in                       (pcit_sm_bckp_trdy_in),
819
    .bckp_stop_in                       (pcit_sm_bckp_stop_in),
820
    .pci_trdy_reg_in                    (pcit_sm_trdy_reg_in),
821
    .pci_stop_reg_in                    (pcit_sm_stop_reg_in),
822
    .address_out                        (pcit_sm_address_out),
823
    .addr_claim_in                      (pcit_sm_addr_claim_in),
824
    .bc_out                             (pcit_sm_bc_out),
825
    .bc0_out                            (pcit_sm_bc0_out),
826
    .data_out                           (pcit_sm_data_out),
827
    .data_in                            (pcit_sm_data_in),
828
    .be_out                             (pcit_sm_be_out),
829
    .req_out                            (pcit_sm_req_out),
830
    .rdy_out                            (pcit_sm_rdy_out),
831
    .addr_phase_out                     (pcit_sm_addr_phase_out),
832
    .bckp_devsel_out                                    (pcit_sm_bckp_devsel_out),
833
    .bckp_trdy_out                      (pcit_sm_bckp_trdy_out),
834
    .bckp_stop_out                                              (pcit_sm_bckp_stop_out),
835
    .last_reg_out                       (pcit_sm_last_reg_out),
836
    .frame_reg_out                      (pcit_sm_frame_reg_out),
837
    .fetch_pcir_fifo_out                (pcit_sm_fetch_pcir_fifo_out),
838
    .load_medium_reg_out                (pcit_sm_load_medium_reg_out),
839
    .sel_fifo_mreg_out                  (pcit_sm_sel_fifo_mreg_out),
840
    .sel_conf_fifo_out                  (pcit_sm_sel_conf_fifo_out),
841
    .fetch_conf_out                     (pcit_sm_fetch_conf_out),
842
    .load_to_pciw_fifo_out              (pcit_sm_load_to_pciw_fifo_out),
843
    .load_to_conf_out                   (pcit_sm_load_to_conf_out),
844
    .same_read_in                       (pcit_sm_same_read_in),
845
    .norm_access_to_config_in           (pcit_sm_norm_access_to_config_in),
846
    .read_completed_in                  (pcit_sm_read_completed_in),
847
    .read_processing_in                 (pcit_sm_read_processing_in),
848
    .target_abort_in                    (pcit_sm_target_abort_in),
849
    .disconect_wo_data_in               (pcit_sm_disconect_wo_data_in),
850
    .disconect_w_data_in                                (pcit_sm_disconect_w_data_in),
851
    .target_abort_set_out               (pcit_sm_target_abort_set_out),
852
    .pciw_fifo_full_in                  (pcit_sm_pciw_fifo_full_in),
853
    .pcir_fifo_data_err_in              (pcit_sm_pcir_fifo_data_err_in),
854
    .wbw_fifo_empty_in                  (pcit_sm_wbw_fifo_empty_in),
855
    .wbu_del_read_comp_pending_in               (pcit_sm_wbu_del_read_comp_pending_in),
856
    .wbu_frame_en_in                    (pcit_sm_wbu_frame_en_in)
857 2 mihad
) ;
858
 
859 33 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.