OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [pci_target_unit.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name: pci_target_unit.v                                ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Tadej Markovic, tadej@opencores.org                   ////
10
////                                                              ////
11
////  All additional information is avaliable in the README.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2000 Tadej Markovic, tadej@opencores.org       ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
46
// New project directory structure
47 2 mihad
//
48 6 mihad
//
49 2 mihad
 
50
// Module instantiates and connects other modules lower in hierarcy
51
// PCI target unit consists of modules that together form datapath
52
// between external WISHBONE slaves and external PCI initiators
53
`include "constants.v"
54 6 mihad
`include "timescale.v"
55 2 mihad
 
56
module PCI_TARGET_UNIT
57
(
58
    reset_in,
59
    wb_clock_in,
60
    pci_clock_in,
61
    ADR_O,
62
    MDATA_O,
63
    MDATA_I,
64
    CYC_O,
65
    STB_O,
66
    WE_O,
67
    SEL_O,
68
    ACK_I,
69
    RTY_I,
70
    ERR_I,
71
    CAB_O,
72
        pciu_mem_enable_in,
73
        pciu_io_enable_in,
74
    pciu_map_in,
75
    pciu_pref_en_in,
76
    pciu_conf_data_in,
77
    pciu_wbw_fifo_empty_in,
78
    pciu_wbu_frame_en_in,
79
        pciu_bar0_in,
80
        pciu_bar1_in,
81
        pciu_bar2_in,
82
        pciu_bar3_in,
83
        pciu_bar4_in,
84
        pciu_bar5_in,
85
        pciu_am0_in,
86
        pciu_am1_in,
87
        pciu_am2_in,
88
        pciu_am3_in,
89
        pciu_am4_in,
90
        pciu_am5_in,
91
        pciu_ta0_in,
92
        pciu_ta1_in,
93
        pciu_ta2_in,
94
        pciu_ta3_in,
95
        pciu_ta4_in,
96
        pciu_ta5_in,
97
        pciu_at_en_in,
98
        pciu_cache_line_size_in,
99
        pciu_pciif_frame_in,
100
        pciu_pciif_irdy_in,
101
        pciu_pciif_idsel_in,
102
        pciu_pciif_frame_reg_in,
103
        pciu_pciif_irdy_reg_in,
104
        pciu_pciif_idsel_reg_in,
105
        pciu_pciif_ad_reg_in,
106
        pciu_pciif_cbe_reg_in,
107
        pciu_pciif_bckp_trdy_en_in,
108
        pciu_pciif_bckp_devsel_in,
109
        pciu_pciif_bckp_trdy_in,
110
        pciu_pciif_bckp_stop_in,
111
        pciu_pciif_trdy_out,
112
        pciu_pciif_stop_out,
113
        pciu_pciif_devsel_out,
114
        pciu_pciif_trdy_en_out,
115
        pciu_pciif_stop_en_out,
116
        pciu_pciif_devsel_en_out,
117
        pciu_pciif_target_load_out,
118
        pciu_pciif_ad_out,
119
        pciu_pciif_ad_en_out,
120
        pciu_pciif_tabort_set_out,
121
    pciu_err_addr_out,
122
    pciu_err_bc_out,
123
    pciu_err_data_out,
124
        pciu_err_be_out,
125
    pciu_err_signal_out,
126
    pciu_err_source_out,
127
    pciu_err_rty_exp_out,
128
    pciu_err_pending_in,
129
    pciu_conf_offset_out,
130
    pciu_conf_renable_out,
131
    pciu_conf_wenable_out,
132
    pciu_conf_be_out,
133
    pciu_conf_data_out,
134
    pciu_conf_select_out,
135
    pciu_pci_drcomp_pending_out,
136
    pciu_pciw_fifo_empty_out
137
);
138
 
139
input reset_in,
140
      wb_clock_in,
141
      pci_clock_in ;
142
 
143
output  [31:0]  ADR_O   ;
144
output  [31:0]  MDATA_O ;
145
input   [31:0]  MDATA_I ;
146
output          CYC_O   ;
147
output          STB_O   ;
148
output          WE_O    ;
149
output  [3:0]   SEL_O   ;
150
input           ACK_I   ;
151
input           RTY_I   ;
152
input           ERR_I   ;
153
output          CAB_O   ;
154
 
155
input           pciu_wbw_fifo_empty_in ;
156
input                   pciu_wbu_frame_en_in ;
157
 
158
input           pciu_mem_enable_in ;
159
input           pciu_io_enable_in ;
160
input   [5:0]   pciu_map_in ;
161
input   [5:0]   pciu_pref_en_in ;
162
input   [31:0]  pciu_conf_data_in ;
163
 
164
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in ;
165
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in ;
166
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in ;
167
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in ;
168
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in ;
169
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in ;
170
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in ;
171
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in ;
172
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in ;
173
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in ;
174
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in ;
175
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in ;
176
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in ;
177
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in ;
178
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in ;
179
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in ;
180
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in ;
181
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in ;
182
input   [5:0]                               pciu_at_en_in ;
183
 
184
input   [7:0]   pciu_cache_line_size_in ;
185
 
186
input           pciu_pciif_frame_in     ;
187
input           pciu_pciif_irdy_in ;
188
input           pciu_pciif_idsel_in ;
189
input           pciu_pciif_frame_reg_in ;
190
input           pciu_pciif_irdy_reg_in ;
191
input           pciu_pciif_idsel_reg_in ;
192
input  [31:0]   pciu_pciif_ad_reg_in ;
193
input   [3:0]   pciu_pciif_cbe_reg_in ;
194
input                   pciu_pciif_bckp_trdy_en_in ;
195
input                   pciu_pciif_bckp_devsel_in ;
196
input                   pciu_pciif_bckp_trdy_in ;
197
input                   pciu_pciif_bckp_stop_in ;
198
 
199
 
200
output          pciu_pciif_trdy_out ;
201
output          pciu_pciif_stop_out ;
202
output          pciu_pciif_devsel_out ;
203
output          pciu_pciif_trdy_en_out ;
204
output          pciu_pciif_stop_en_out ;
205
output          pciu_pciif_devsel_en_out ;
206
output                  pciu_pciif_target_load_out ;
207
output [31:0]   pciu_pciif_ad_out ;
208
output          pciu_pciif_ad_en_out ;
209
output                  pciu_pciif_tabort_set_out ;
210
 
211
output  [31:0]  pciu_err_addr_out ;
212
output  [3:0]   pciu_err_bc_out ;
213
output  [31:0]  pciu_err_data_out ;
214
output  [3:0]   pciu_err_be_out  ;
215
output          pciu_err_signal_out ;
216
output          pciu_err_source_out ;
217
output          pciu_err_rty_exp_out ;
218
input           pciu_err_pending_in ;
219
 
220
output                  pciu_conf_select_out ;
221
output  [11:0]  pciu_conf_offset_out ;
222
output          pciu_conf_renable_out ;
223
output          pciu_conf_wenable_out ;
224
output  [3:0]   pciu_conf_be_out ;
225
output  [31:0]  pciu_conf_data_out ;
226
 
227
output                  pciu_pci_drcomp_pending_out ;
228
output                  pciu_pciw_fifo_empty_out ;
229
 
230
 
231
// pci target state machine and interface outputs
232
wire        pcit_sm_trdy_out ;
233
wire        pcit_sm_stop_out ;
234
wire        pcit_sm_devsel_out ;
235
wire        pcit_sm_trdy_en_out ;
236
wire        pcit_sm_stop_en_out ;
237
wire        pcit_sm_devsel_en_out ;
238
wire            pcit_sm_target_load_out ;
239
wire [31:0] pcit_sm_ad_out ;
240
wire        pcit_sm_ad_en_out ;
241
wire [31:0] pcit_sm_address_out ;
242
wire  [3:0] pcit_sm_bc_out ;
243
wire            pcit_sm_bc0_out ;
244
wire [31:0] pcit_sm_data_out ;
245
wire  [3:0] pcit_sm_be_out ;
246
wire        pcit_sm_req_out ;
247
wire        pcit_sm_rdy_out ;
248
wire            pcit_sm_addr_phase_out ;
249
wire            pcit_sm_bckp_trdy_out ;
250
wire            pcit_sm_last_reg_out ;
251
wire            pcit_sm_frame_reg_out ;
252
wire            pcit_sm_fetch_pcir_fifo_out ;
253
wire            pcit_sm_load_medium_reg_out ;
254
wire            pcit_sm_sel_fifo_mreg_out ;
255
wire            pcit_sm_sel_conf_fifo_out ;
256
wire            pcit_sm_fetch_conf_out ;
257
wire            pcit_sm_load_to_pciw_fifo_out ;
258
wire            pcit_sm_load_to_conf_out ;
259
 
260
wire            pcit_sm_target_abort_set_out ; // to conf space
261
 
262
assign  pciu_pciif_trdy_out             =       pcit_sm_trdy_out ;
263
assign  pciu_pciif_stop_out             =       pcit_sm_stop_out ;
264
assign  pciu_pciif_devsel_out           =       pcit_sm_devsel_out ;
265
assign  pciu_pciif_trdy_en_out          =       pcit_sm_trdy_en_out ;
266
assign  pciu_pciif_stop_en_out          =       pcit_sm_stop_en_out ;
267
assign  pciu_pciif_devsel_en_out        =       pcit_sm_devsel_en_out ;
268
assign  pciu_pciif_target_load_out              =       pcit_sm_target_load_out ;
269
assign  pciu_pciif_ad_out               =       pcit_sm_ad_out ;
270
assign  pciu_pciif_ad_en_out            =       pcit_sm_ad_en_out ;
271
assign  pciu_pciif_tabort_set_out               =       pcit_sm_target_abort_set_out ;
272
 
273
wire        pcit_if_addr_claim_out ;
274
wire [31:0] pcit_if_data_out ;
275
wire        pcit_if_same_read_out ;
276
wire        pcit_if_norm_access_to_config_out ;
277
wire        pcit_if_read_completed_out ;
278
wire        pcit_if_read_processing_out ;
279
wire        pcit_if_target_abort_out ;
280
wire        pcit_if_disconect_wo_data_out ;
281
wire        pcit_if_pciw_fifo_full_out ;
282
wire        pcit_if_pcir_fifo_data_err_out ;
283
wire        pcit_if_wbw_fifo_empty_out ;
284
wire        pcit_if_req_out ;
285
wire        pcit_if_done_out ;
286
wire        pcit_if_in_progress_out ;
287
wire [31:0] pcit_if_addr_out ;
288
wire  [3:0] pcit_if_be_out ;
289
wire        pcit_if_we_out ;
290
wire  [3:0] pcit_if_bc_out ;
291
wire        pcit_if_burst_out ;
292
wire        pcit_if_pcir_fifo_renable_out ;
293
wire        pcit_if_pcir_fifo_flush_out ;
294
wire        pcit_if_pciw_fifo_wenable_out ;
295
wire [31:0] pcit_if_pciw_fifo_addr_data_out ;
296
wire  [3:0] pcit_if_pciw_fifo_cbe_out ;
297
wire  [3:0] pcit_if_pciw_fifo_control_out ;
298
wire        pcit_if_conf_hit_out ;
299
wire [11:0] pcit_if_conf_addr_out ;
300
wire [31:0] pcit_if_conf_data_out ;
301
wire  [3:0] pcit_if_conf_be_out ;
302
wire        pcit_if_conf_we_out ;
303
wire        pcit_if_conf_re_out ;
304
 
305
// pci target state machine outputs
306
// pci interface signals
307
assign  pciu_conf_select_out    =       pcit_if_conf_hit_out ;
308
assign  pciu_conf_offset_out    =       pcit_if_conf_addr_out ;
309
assign  pciu_conf_renable_out   =       pcit_if_conf_re_out ;
310
assign  pciu_conf_wenable_out   =       pcit_if_conf_we_out ;
311
assign  pciu_conf_be_out                =       pcit_if_conf_be_out ;
312
assign  pciu_conf_data_out              =       pcit_if_conf_data_out ;
313
 
314
// wishbone master state machine outputs
315
wire        wbm_sm_wb_read_done ;
316
wire        wbm_sm_pcir_fifo_wenable_out ;
317
wire [31:0] wbm_sm_pcir_fifo_data_out ;
318
wire  [3:0] wbm_sm_pcir_fifo_be_out ;
319
wire  [3:0] wbm_sm_pcir_fifo_control_out ;
320
wire        wbm_sm_pciw_fifo_renable_out ;
321
wire        wbm_sm_pci_error_sig_out ;
322
wire  [3:0] wbm_sm_pci_error_bc ;
323
wire        wbm_sm_write_rty_cnt_exp_out ;
324
wire        wbm_sm_read_rty_cnt_exp_out ;
325
wire        wbm_sm_cyc_out ;
326
wire        wbm_sm_stb_out ;
327
wire        wbm_sm_we_out ;
328
wire  [3:0] wbm_sm_sel_out ;
329
wire [31:0] wbm_sm_adr_out ;
330
wire [31:0] wbm_sm_mdata_out ;
331
wire        wbm_sm_cab_out ;
332
 
333
assign  pciu_err_addr_out               =       wbm_sm_adr_out ;
334
assign  pciu_err_bc_out                 =       wbm_sm_pci_error_bc ;
335
assign  pciu_err_data_out               =       wbm_sm_mdata_out ;
336
assign  pciu_err_be_out                 =       ~wbm_sm_sel_out ;
337
assign  pciu_err_signal_out             =       wbm_sm_pci_error_sig_out ;
338
assign  pciu_err_source_out             =       wbm_sm_write_rty_cnt_exp_out ; // only for writing to WB !
339
assign  pciu_err_rty_exp_out    =       wbm_sm_write_rty_cnt_exp_out ;
340
 
341
assign  ADR_O           =       wbm_sm_adr_out ;
342
assign  MDATA_O         =       wbm_sm_mdata_out ;
343
assign  CYC_O           =       wbm_sm_cyc_out ;
344
assign  STB_O           =       wbm_sm_stb_out ;
345
assign  WE_O            =       wbm_sm_we_out ;
346
assign  SEL_O           =       wbm_sm_sel_out ;
347
assign  CAB_O           =       wbm_sm_cab_out ;
348
 
349
// pciw_pcir fifo outputs
350
 
351
// pciw_fifo_outputs:
352
wire [31:0] fifos_pciw_addr_data_out ;
353
wire [3:0]  fifos_pciw_cbe_out ;
354
wire [3:0]  fifos_pciw_control_out ;
355
wire            fifos_pciw_two_left_out ;
356
wire        fifos_pciw_almost_full_out ;
357
wire        fifos_pciw_full_out ;
358
wire            fifos_pciw_almost_empty_out ;
359
wire        fifos_pciw_empty_out ;
360
wire        fifos_pciw_transaction_ready_out ;
361
 
362
assign  pciu_pciw_fifo_empty_out = fifos_pciw_empty_out ;
363
 
364
// pcir_fifo_outputs
365
wire [31:0] fifos_pcir_data_out ;
366
wire [3:0]  fifos_pcir_be_out ;
367
wire [3:0]  fifos_pcir_control_out ;
368
wire        fifos_pcir_almost_full_out ;
369
wire        fifos_pcir_full_out ;
370
wire        fifos_pcir_almost_empty_out ;
371
wire            fifos_pcir_empty_out ;
372
 
373
// delayed transaction logic outputs
374
wire [31:0] del_sync_addr_out ;
375
wire [3:0]  del_sync_be_out ;
376
wire        del_sync_we_out ;
377
wire        del_sync_comp_req_pending_out ;
378
wire        del_sync_comp_comp_pending_out ;
379
wire        del_sync_req_req_pending_out ;
380
wire        del_sync_req_comp_pending_out ;
381
wire [3:0]  del_sync_bc_out ;
382
wire        del_sync_status_out ;
383
wire        del_sync_comp_flush_out ;
384
wire        del_sync_burst_out ;
385
 
386
assign  pciu_pci_drcomp_pending_out = del_sync_comp_comp_pending_out ;
387
 
388
// WISHBONE master interface inputs            
389
wire            wbm_sm_pci_tar_read_request                             =       del_sync_comp_req_pending_out ;
390
wire [31:0] wbm_sm_pci_tar_address                  =    del_sync_addr_out ;
391
wire  [3:0] wbm_sm_pci_tar_cmd                      =    del_sync_bc_out ;
392
wire  [3:0] wbm_sm_pci_tar_be                       =    del_sync_be_out ;
393
wire            wbm_sm_pci_tar_prefetch_en              =       del_sync_burst_out ;
394
wire  [7:0] wbm_sm_pci_cache_line_size              =    pciu_cache_line_size_in ;
395
wire            wbm_sm_pcir_fifo_almost_full_in         =       fifos_pcir_almost_full_out ;
396
wire            wbm_sm_pcir_fifo_full_in                =       fifos_pcir_full_out ;
397
wire [31:0] wbm_sm_pciw_fifo_addr_data_in           =    fifos_pciw_addr_data_out ;
398
wire  [3:0] wbm_sm_pciw_fifo_cbe_in                 =    fifos_pciw_cbe_out ;
399
wire  [3:0] wbm_sm_pciw_fifo_control_in             =    fifos_pciw_control_out ;
400
wire            wbm_sm_pciw_fifo_almost_empty_in        =       fifos_pciw_almost_empty_out ;
401
wire            wbm_sm_pciw_fifo_empty_in               =       fifos_pciw_empty_out ;
402
wire            wbm_sm_pciw_fifo_transaction_ready_in   =       fifos_pciw_transaction_ready_out ;
403
wire            wbm_sm_pci_error_sig_set_in             =       pciu_err_pending_in ;
404
wire [31:0] wbm_sm_mdata_in                         =    MDATA_I ;
405
wire            wbm_sm_ack_in                           =       ACK_I ;
406
wire            wbm_sm_rty_in                           =       RTY_I ;
407
wire            wbm_sm_err_in                           =       ERR_I ;
408
 
409
// WISHBONE master interface instantiation
410
WB_MASTER wishbone_master
411
(
412
        .wb_clock_in                                    (wb_clock_in),
413
        .reset_in                                               (reset_in),
414
        .pci_tar_read_request                   (wbm_sm_pci_tar_read_request),  //in
415
        .pci_tar_address                                (wbm_sm_pci_tar_address),               //in
416
        .pci_tar_cmd                                    (wbm_sm_pci_tar_cmd),                   //in
417
        .pci_tar_be                                             (wbm_sm_pci_tar_be),                    //in
418
        .pci_tar_prefetch_en                    (wbm_sm_pci_tar_prefetch_en),   //in
419
        .pci_cache_line_size                    (wbm_sm_pci_cache_line_size),   //in
420
        .wb_read_done                                   (wbm_sm_wb_read_done),                  //out
421
        .pcir_fifo_wenable_out                  (wbm_sm_pcir_fifo_wenable_out),
422
        .pcir_fifo_data_out                             (wbm_sm_pcir_fifo_data_out),
423
        .pcir_fifo_be_out                               (wbm_sm_pcir_fifo_be_out),
424
        .pcir_fifo_control_out                  (wbm_sm_pcir_fifo_control_out),
425
        .pcir_fifo_almost_full_in               (wbm_sm_pcir_fifo_almost_full_in),
426
        .pcir_fifo_full_in                              (wbm_sm_pcir_fifo_full_in),
427
        .pciw_fifo_renable_out                  (wbm_sm_pciw_fifo_renable_out),
428
        .pciw_fifo_addr_data_in                 (wbm_sm_pciw_fifo_addr_data_in),
429
        .pciw_fifo_cbe_in                               (wbm_sm_pciw_fifo_cbe_in),
430
        .pciw_fifo_control_in                   (wbm_sm_pciw_fifo_control_in),
431
        .pciw_fifo_almost_empty_in              (wbm_sm_pciw_fifo_almost_empty_in),
432
        .pciw_fifo_empty_in                             (wbm_sm_pciw_fifo_empty_in),
433
        .pciw_fifo_transaction_ready_in (wbm_sm_pciw_fifo_transaction_ready_in),
434
        .pci_error_sig_set_in                   (wbm_sm_pci_error_sig_set_in),
435
        .pci_error_sig_out                              (wbm_sm_pci_error_sig_out),
436
        .pci_error_bc                                   (wbm_sm_pci_error_bc),
437
        .write_rty_cnt_exp_out                  (wbm_sm_write_rty_cnt_exp_out),
438
        .read_rty_cnt_exp_out                   (wbm_sm_read_rty_cnt_exp_out),
439
        .CYC_O                                                  (wbm_sm_cyc_out),
440
        .STB_O                                                  (wbm_sm_stb_out),
441
        .WE_O                                                   (wbm_sm_we_out),
442
        .SEL_O                                                  (wbm_sm_sel_out),
443
        .ADR_O                                                  (wbm_sm_adr_out),
444
        .MDATA_I                                                (wbm_sm_mdata_in),
445
        .MDATA_O                                                (wbm_sm_mdata_out),
446
        .ACK_I                                                  (wbm_sm_ack_in),
447
        .RTY_I                                                  (wbm_sm_rty_in),
448
        .ERR_I                                                  (wbm_sm_err_in),
449
        .CAB_O                                  (wbm_sm_cab_out)
450
);
451
 
452
// pciw_pcir_fifos inputs
453
// PCIW_FIFO inputs
454
wire        fifos_pciw_wenable_in       =       pcit_if_pciw_fifo_wenable_out ;
455
wire [31:0] fifos_pciw_addr_data_in     =        pcit_if_pciw_fifo_addr_data_out ;
456
wire [3:0]  fifos_pciw_cbe_in           =        pcit_if_pciw_fifo_cbe_out ;
457
wire [3:0]  fifos_pciw_control_in       =        pcit_if_pciw_fifo_control_out ;
458
wire        fifos_pciw_renable_in       =       wbm_sm_pciw_fifo_renable_out ;
459
wire        fifos_pciw_flush_in         =       1'b0 ;
460
 
461
// PCIR_FIFO inputs
462
wire        fifos_pcir_wenable_in       =       wbm_sm_pcir_fifo_wenable_out ;
463
wire [31:0] fifos_pcir_data_in          =        wbm_sm_pcir_fifo_data_out ;
464
wire [3:0]  fifos_pcir_be_in            =        wbm_sm_pcir_fifo_be_out ;
465
wire [3:0]  fifos_pcir_control_in       =        wbm_sm_pcir_fifo_control_out ;
466
wire        fifos_pcir_renable_in       =       pcit_if_pcir_fifo_renable_out ;
467
wire        fifos_pcir_flush_in         =       pcit_if_pcir_fifo_flush_out ;
468
 
469
// PCIW_FIFO and PCIR_FIFO instantiation
470
PCIW_PCIR_FIFOS fifos
471
(
472
        .wb_clock_in                (wb_clock_in),
473
        .pci_clock_in               (pci_clock_in),
474
        .reset_in                   (reset_in),
475
        .pciw_wenable_in            (fifos_pciw_wenable_in),      //for PCI Target !!!
476
        .pciw_addr_data_in          (fifos_pciw_addr_data_in),    //for PCI Target !!!
477
        .pciw_cbe_in                (fifos_pciw_cbe_in),          //for PCI Target !!!
478
        .pciw_control_in            (fifos_pciw_control_in),      //for PCI Target !!!
479
        .pciw_renable_in            (fifos_pciw_renable_in),
480
        .pciw_addr_data_out         (fifos_pciw_addr_data_out),
481
        .pciw_cbe_out               (fifos_pciw_cbe_out),
482
        .pciw_control_out           (fifos_pciw_control_out),
483
        .pciw_flush_in                          (fifos_pciw_flush_in),
484
        .pciw_two_left_out                      (fifos_pciw_two_left_out),        //for PCI Target !!!
485
        .pciw_almost_full_out       (fifos_pciw_almost_full_out), //for PCI Target !!!
486
        .pciw_full_out              (fifos_pciw_full_out),        //for PCI Target !!!
487
        .pciw_almost_empty_out      (fifos_pciw_almost_empty_out),
488
        .pciw_empty_out             (fifos_pciw_empty_out),
489
        .pciw_transaction_ready_out (fifos_pciw_transaction_ready_out),
490
        .pcir_wenable_in            (fifos_pcir_wenable_in),
491
        .pcir_data_in               (fifos_pcir_data_in),
492
        .pcir_be_in                 (fifos_pcir_be_in),
493
        .pcir_control_in            (fifos_pcir_control_in),
494
        .pcir_renable_in            (fifos_pcir_renable_in),      //for PCI Target !!!
495
        .pcir_data_out              (fifos_pcir_data_out),        //for PCI Target !!!
496
        .pcir_be_out                (fifos_pcir_be_out),          //for PCI Target !!!
497
        .pcir_control_out           (fifos_pcir_control_out),     //for PCI Target !!!
498
        .pcir_flush_in              (fifos_pcir_flush_in),        //for PCI Target !!!
499
        .pcir_almost_full_out           (fifos_pcir_almost_full_out),
500
        .pcir_full_out                          (fifos_pcir_full_out),
501
        .pcir_almost_empty_out          (fifos_pcir_almost_empty_out), //for PCI Target !!!
502
        .pcir_empty_out                         (fifos_pcir_empty_out),            //for PCI Target !!!
503
        .pcir_transaction_ready_out     ()
504
) ;
505
 
506
// delayed transaction logic inputs
507
wire        del_sync_req_in             =       pcit_if_req_out ;
508
wire        del_sync_comp_in            =       wbm_sm_wb_read_done ;
509
wire        del_sync_done_in            =       pcit_if_done_out ;
510
wire        del_sync_in_progress_in     =       pcit_if_in_progress_out ;
511
wire [31:0] del_sync_addr_in            =        pcit_if_addr_out ;
512
wire  [3:0] del_sync_be_in              =        pcit_if_be_out ;
513
wire        del_sync_we_in              =       pcit_if_we_out ;
514
wire  [3:0] del_sync_bc_in              =        pcit_if_bc_out ;
515
wire        del_sync_status_in          =       1'b0 ;
516
wire        del_sync_burst_in           =       pcit_if_burst_out ;
517
wire        del_sync_retry_expired_in   =       wbm_sm_read_rty_cnt_exp_out ;
518
 
519
// delayed transaction logic instantiation
520
DELAYED_SYNC                            del_sync
521
(
522
        .reset_in               (reset_in),
523
        .req_clk_in             (pci_clock_in),
524
        .comp_clk_in            (wb_clock_in),
525
        .req_in                 (del_sync_req_in),
526
        .comp_in                (del_sync_comp_in),
527
        .done_in                (del_sync_done_in),
528
        .in_progress_in         (del_sync_in_progress_in),
529
        .comp_req_pending_out   (del_sync_comp_req_pending_out),
530
        .comp_comp_pending_out  (del_sync_comp_comp_pending_out),
531
        .req_req_pending_out    (del_sync_req_req_pending_out),
532
        .req_comp_pending_out   (del_sync_req_comp_pending_out),
533
        .addr_in                (del_sync_addr_in),
534
        .be_in                  (del_sync_be_in),
535
        .addr_out               (del_sync_addr_out),
536
        .be_out                 (del_sync_be_out),
537
        .we_in                  (del_sync_we_in),
538
        .we_out                 (del_sync_we_out),
539
        .bc_in                  (del_sync_bc_in),
540
        .bc_out                 (del_sync_bc_out),
541
        .status_in              (del_sync_status_in),
542
        .status_out             (del_sync_status_out),
543
        .comp_flush_out         (del_sync_comp_flush_out),
544
        .burst_in               (del_sync_burst_in),
545
        .burst_out              (del_sync_burst_out),
546
        .retry_expired_in       (del_sync_retry_expired_in)
547
);
548
 
549
// pci target interface inputs
550
wire [31:0] pcit_if_address_in                                           =       pcit_sm_address_out ;
551
wire  [3:0] pcit_if_bc_in                                                        =       pcit_sm_bc_out ;
552
wire            pcit_if_bc0_in                                                  =       pcit_sm_bc0_out ;
553
wire [31:0] pcit_if_data_in                                                      =       pcit_sm_data_out ;
554
wire  [3:0] pcit_if_be_in                                                        =       pcit_sm_be_out ;
555
wire            pcit_if_req_in                                                  =       pcit_sm_req_out ;
556
wire            pcit_if_rdy_in                                                  =       pcit_sm_rdy_out ;
557
wire            pcit_if_addr_phase_in                                   =       pcit_sm_addr_phase_out ;
558
wire            pcit_if_bckp_trdy_in                                    =       pcit_sm_bckp_trdy_out ;
559
wire            pcit_if_last_reg_in                                             =       pcit_sm_last_reg_out ;
560
wire            pcit_if_frame_reg_in                                    =       pcit_sm_frame_reg_out ;
561
wire            pcit_if_fetch_pcir_fifo_in                              =       pcit_sm_fetch_pcir_fifo_out ;
562
wire            pcit_if_load_medium_reg_in                              =       pcit_sm_load_medium_reg_out ;
563
wire            pcit_if_sel_fifo_mreg_in                                =       pcit_sm_sel_fifo_mreg_out ;
564
wire            pcit_if_sel_conf_fifo_in                                =       pcit_sm_sel_conf_fifo_out ;
565
wire            pcit_if_fetch_conf_in                                   =       pcit_sm_fetch_conf_out ;
566
wire            pcit_if_load_to_pciw_fifo_in                    =       pcit_sm_load_to_pciw_fifo_out ;
567
wire            pcit_if_load_to_conf_in                                 =       pcit_sm_load_to_conf_out ;
568
wire            pcit_if_req_req_pending_in                              =       del_sync_req_req_pending_out ;
569
wire            pcit_if_req_comp_pending_in                             =       del_sync_req_comp_pending_out ;
570
wire        pcit_if_status_in                                           =       del_sync_status_out ;
571
wire [31:0]      pcit_if_strd_addr_in                                    =       del_sync_addr_out ;
572
wire  [3:0]      pcit_if_strd_bc_in                                              =       del_sync_bc_out ;
573
wire            pcit_if_comp_flush_in                                   =       del_sync_comp_flush_out ;
574
wire [31:0] pcit_if_pcir_fifo_data_in                            =       fifos_pcir_data_out ;
575
wire  [3:0] pcit_if_pcir_fifo_be_in                                      =       fifos_pcir_be_out ;
576
wire  [3:0] pcit_if_pcir_fifo_control_in                 =       fifos_pcir_control_out ;
577
wire            pcit_if_pcir_fifo_almost_empty_in               =       fifos_pcir_almost_empty_out ;
578
wire            pcit_if_pcir_fifo_empty_in                              =       fifos_pcir_empty_out ;
579
wire            pcit_if_pciw_fifo_two_left_in                   =       fifos_pciw_two_left_out ;
580
wire            pcit_if_pciw_fifo_almost_full_in                =       fifos_pciw_almost_full_out ;
581
wire            pcit_if_pciw_fifo_full_in                               =       fifos_pciw_full_out ;
582
wire            pcit_if_wbw_fifo_empty_in                               =       pciu_wbw_fifo_empty_in ;
583
wire [31:0] pcit_if_conf_data_in                                 =       pciu_conf_data_in ;
584
wire            pcit_if_mem_enable_in                                   =       pciu_mem_enable_in ;
585
wire            pcit_if_io_enable_in                                    =       pciu_io_enable_in ;
586
wire            pcit_if_mem_io_addr_space0_in                   =       pciu_map_in[0] ;
587
wire            pcit_if_mem_io_addr_space1_in                   =       pciu_map_in[1] ;
588
wire            pcit_if_mem_io_addr_space2_in                   =       pciu_map_in[2] ;
589
wire            pcit_if_mem_io_addr_space3_in                   =       pciu_map_in[3] ;
590
wire            pcit_if_mem_io_addr_space4_in                   =       pciu_map_in[4] ;
591
wire            pcit_if_mem_io_addr_space5_in                   =       pciu_map_in[5] ;
592
wire            pcit_if_pre_fetch_en0_in                                =       pciu_pref_en_in[0] ;
593
wire            pcit_if_pre_fetch_en1_in                                =       pciu_pref_en_in[1] ;
594
wire            pcit_if_pre_fetch_en2_in                                =       pciu_pref_en_in[2] ;
595
wire            pcit_if_pre_fetch_en3_in                                =       pciu_pref_en_in[3] ;
596
wire            pcit_if_pre_fetch_en4_in                                =       pciu_pref_en_in[4] ;
597
wire            pcit_if_pre_fetch_en5_in                                =       pciu_pref_en_in[5] ;
598
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr0_in       =       pciu_bar0_in ;
599
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr1_in       =       pciu_bar1_in ;
600
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr2_in       =       pciu_bar2_in ;
601
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr3_in       =       pciu_bar3_in ;
602
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr4_in       =       pciu_bar4_in ;
603
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr5_in       =       pciu_bar5_in ;
604
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask0_in       =       pciu_am0_in ;
605
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask1_in       =       pciu_am1_in ;
606
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask2_in       =       pciu_am2_in ;
607
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask3_in       =       pciu_am3_in ;
608
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask4_in       =       pciu_am4_in ;
609
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask5_in       =       pciu_am5_in ;
610
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr0_in       =       pciu_ta0_in ;
611
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr1_in       =       pciu_ta1_in ;
612
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr2_in       =       pciu_ta2_in ;
613
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr3_in       =       pciu_ta3_in ;
614
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr4_in       =       pciu_ta4_in ;
615
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr5_in       =       pciu_ta5_in ;
616
wire            pcit_if_addr_tran_en0_in                                =       pciu_at_en_in[0] ;
617
wire            pcit_if_addr_tran_en1_in                                =       pciu_at_en_in[1] ;
618
wire            pcit_if_addr_tran_en2_in                                =       pciu_at_en_in[2] ;
619
wire            pcit_if_addr_tran_en3_in                                =       pciu_at_en_in[3] ;
620
wire            pcit_if_addr_tran_en4_in                                =       pciu_at_en_in[4] ;
621
wire            pcit_if_addr_tran_en5_in                                =       pciu_at_en_in[5] ;
622
 
623
PCI_TARGET32_INTERFACE                          pci_target_if
624
(
625
    .clk_in                                                     (pci_clock_in),
626
    .reset_in                                           (reset_in),
627
    .address_in                                         (pcit_if_address_in),
628
    .addr_claim_out                                     (pcit_if_addr_claim_out),
629
    .bc_in                                                      (pcit_if_bc_in),
630
    .bc0_in                                                     (pcit_if_bc0_in),
631
    .data_in                                            (pcit_if_data_in),
632
    .data_out                                           (pcit_if_data_out),
633
    .be_in                                                      (pcit_if_be_in),
634
    .req_in                                                     (pcit_if_req_in),
635
    .rdy_in                                                     (pcit_if_rdy_in),
636
    .addr_phase_in                                      (pcit_if_addr_phase_in),
637
    .bckp_trdy_in                                       (pcit_if_bckp_trdy_in),
638
    .last_reg_in                                        (pcit_if_last_reg_in),
639
    .frame_reg_in                                       (pcit_if_frame_reg_in),
640
    .fetch_pcir_fifo_in                         (pcit_if_fetch_pcir_fifo_in),
641
    .load_medium_reg_in                         (pcit_if_load_medium_reg_in),
642
    .sel_fifo_mreg_in                           (pcit_if_sel_fifo_mreg_in),
643
    .sel_conf_fifo_in                           (pcit_if_sel_conf_fifo_in),
644
    .fetch_conf_in                              (pcit_if_fetch_conf_in),
645
    .load_to_pciw_fifo_in                       (pcit_if_load_to_pciw_fifo_in),
646
    .load_to_conf_in                            (pcit_if_load_to_conf_in),
647
    .same_read_out                                      (pcit_if_same_read_out),
648
        .norm_access_to_config_out              (pcit_if_norm_access_to_config_out),
649
        .read_completed_out                             (pcit_if_read_completed_out),
650
        .read_processing_out                    (pcit_if_read_processing_out),
651
        .target_abort_out                               (pcit_if_target_abort_out),
652
        .disconect_wo_data_out                  (pcit_if_disconect_wo_data_out),
653
        .pciw_fifo_full_out                             (pcit_if_pciw_fifo_full_out),
654
        .pcir_fifo_data_err_out                 (pcit_if_pcir_fifo_data_err_out),
655
        .wbw_fifo_empty_out                             (pcit_if_wbw_fifo_empty_out),
656
        .req_out                                                (pcit_if_req_out),
657
    .done_out                                           (pcit_if_done_out),
658
    .in_progress_out                            (pcit_if_in_progress_out),
659
        .req_req_pending_in                             (pcit_if_req_req_pending_in),
660
    .req_comp_pending_in                        (pcit_if_req_comp_pending_in),
661
        .addr_out                                               (pcit_if_addr_out),
662
    .be_out                                                     (pcit_if_be_out),
663
    .we_out                                                     (pcit_if_we_out),
664
    .bc_out                                                     (pcit_if_bc_out),
665
    .burst_out                                          (pcit_if_burst_out),
666
    .strd_addr_in                                       (pcit_if_strd_addr_in),
667
    .strd_bc_in                                         (pcit_if_strd_bc_in),
668
    .status_in                                          (pcit_if_status_in),
669
    .comp_flush_in                                      (pcit_if_comp_flush_in),
670
        .pcir_fifo_renable_out                  (pcit_if_pcir_fifo_renable_out),
671
        .pcir_fifo_data_in                              (pcit_if_pcir_fifo_data_in),
672
        .pcir_fifo_be_in                                (pcit_if_pcir_fifo_be_in),
673
        .pcir_fifo_control_in                   (pcit_if_pcir_fifo_control_in),
674
        .pcir_fifo_flush_out                    (pcit_if_pcir_fifo_flush_out),
675
        .pcir_fifo_almost_empty_in              (pcit_if_pcir_fifo_almost_empty_in),
676
        .pcir_fifo_empty_in                             (pcit_if_pcir_fifo_empty_in),
677
        .pciw_fifo_wenable_out                  (pcit_if_pciw_fifo_wenable_out),
678
        .pciw_fifo_addr_data_out                (pcit_if_pciw_fifo_addr_data_out),
679
        .pciw_fifo_cbe_out                              (pcit_if_pciw_fifo_cbe_out),
680
        .pciw_fifo_control_out                  (pcit_if_pciw_fifo_control_out),
681
        .pciw_fifo_two_left_in                  (pcit_if_pciw_fifo_two_left_in),
682
        .pciw_fifo_almost_full_in               (pcit_if_pciw_fifo_almost_full_in),
683
        .pciw_fifo_full_in                              (pcit_if_pciw_fifo_full_in),
684
        .wbw_fifo_empty_in                              (pcit_if_wbw_fifo_empty_in),
685
        .conf_hit_out                                   (pcit_if_conf_hit_out),
686
        .conf_addr_out                                  (pcit_if_conf_addr_out),
687
        .conf_data_out                                  (pcit_if_conf_data_out),
688
        .conf_data_in                                   (pcit_if_conf_data_in),
689
        .conf_be_out                                    (pcit_if_conf_be_out),
690
        .conf_we_out                                    (pcit_if_conf_we_out),
691
        .conf_re_out                                    (pcit_if_conf_re_out),
692
        .mem_enable_in                                  (pcit_if_mem_enable_in),
693
        .io_enable_in                                   (pcit_if_io_enable_in),
694
        .mem_io_addr_space0_in                  (pcit_if_mem_io_addr_space0_in),
695
        .mem_io_addr_space1_in                  (pcit_if_mem_io_addr_space1_in),
696
        .mem_io_addr_space2_in                  (pcit_if_mem_io_addr_space2_in),
697
        .mem_io_addr_space3_in                  (pcit_if_mem_io_addr_space3_in),
698
        .mem_io_addr_space4_in                  (pcit_if_mem_io_addr_space4_in),
699
        .mem_io_addr_space5_in                  (pcit_if_mem_io_addr_space5_in),
700
        .pre_fetch_en0_in                               (pcit_if_pre_fetch_en0_in),
701
        .pre_fetch_en1_in                               (pcit_if_pre_fetch_en1_in),
702
        .pre_fetch_en2_in                               (pcit_if_pre_fetch_en2_in),
703
        .pre_fetch_en3_in                               (pcit_if_pre_fetch_en3_in),
704
        .pre_fetch_en4_in                               (pcit_if_pre_fetch_en4_in),
705
        .pre_fetch_en5_in                               (pcit_if_pre_fetch_en5_in),
706
        .pci_base_addr0_in                              (pcit_if_pci_base_addr0_in),
707
        .pci_base_addr1_in                              (pcit_if_pci_base_addr1_in),
708
        .pci_base_addr2_in                              (pcit_if_pci_base_addr2_in),
709
        .pci_base_addr3_in                              (pcit_if_pci_base_addr3_in),
710
        .pci_base_addr4_in                              (pcit_if_pci_base_addr4_in),
711
        .pci_base_addr5_in                              (pcit_if_pci_base_addr5_in),
712
        .pci_addr_mask0_in                              (pcit_if_pci_addr_mask0_in),
713
        .pci_addr_mask1_in                              (pcit_if_pci_addr_mask1_in),
714
        .pci_addr_mask2_in                              (pcit_if_pci_addr_mask2_in),
715
        .pci_addr_mask3_in                              (pcit_if_pci_addr_mask3_in),
716
        .pci_addr_mask4_in                              (pcit_if_pci_addr_mask4_in),
717
        .pci_addr_mask5_in                              (pcit_if_pci_addr_mask5_in),
718
        .pci_tran_addr0_in                              (pcit_if_pci_tran_addr0_in),
719
        .pci_tran_addr1_in                              (pcit_if_pci_tran_addr1_in),
720
        .pci_tran_addr2_in                              (pcit_if_pci_tran_addr2_in),
721
        .pci_tran_addr3_in                              (pcit_if_pci_tran_addr3_in),
722
        .pci_tran_addr4_in                              (pcit_if_pci_tran_addr4_in),
723
        .pci_tran_addr5_in                              (pcit_if_pci_tran_addr5_in),
724
        .addr_tran_en0_in                               (pcit_if_addr_tran_en0_in),
725
        .addr_tran_en1_in                               (pcit_if_addr_tran_en1_in),
726
        .addr_tran_en2_in                               (pcit_if_addr_tran_en2_in),
727
        .addr_tran_en3_in                               (pcit_if_addr_tran_en3_in),
728
        .addr_tran_en4_in                               (pcit_if_addr_tran_en4_in),
729
        .addr_tran_en5_in                               (pcit_if_addr_tran_en5_in)
730
) ;
731
 
732
// pci target state machine inputs
733
wire            pcit_sm_frame_in                                        =       pciu_pciif_frame_in ;
734
wire            pcit_sm_irdy_in                     =   pciu_pciif_irdy_in ;
735
wire            pcit_sm_idsel_in                    =   pciu_pciif_idsel_in ;
736
wire            pcit_sm_frame_reg_in                =   pciu_pciif_frame_reg_in ;
737
wire            pcit_sm_irdy_reg_in                 =   pciu_pciif_irdy_reg_in ;
738
wire            pcit_sm_idsel_reg_in                =   pciu_pciif_idsel_reg_in ;
739
wire [31:0] pcit_sm_ad_reg_in                   =        pciu_pciif_ad_reg_in ;
740
wire  [3:0] pcit_sm_cbe_reg_in                  =        pciu_pciif_cbe_reg_in ;
741
wire            pcit_sm_bckp_trdy_en_in                         =       pciu_pciif_bckp_trdy_en_in ;
742
wire            pcit_sm_bckp_devsel_in                          =       pciu_pciif_bckp_devsel_in ;
743
wire            pcit_sm_bckp_trdy_in                            =       pciu_pciif_bckp_trdy_in ;
744
wire            pcit_sm_bckp_stop_in                            =       pciu_pciif_bckp_stop_in ;
745
wire            pcit_sm_addr_claim_in               =   pcit_if_addr_claim_out ;
746
wire [31:0] pcit_sm_data_in                     =        pcit_if_data_out ;
747
wire            pcit_sm_same_read_in                =   pcit_if_same_read_out ;
748
wire            pcit_sm_norm_access_to_config_in    =   pcit_if_norm_access_to_config_out ;
749
wire            pcit_sm_read_completed_in           =   pcit_if_read_completed_out ;
750
wire            pcit_sm_read_processing_in          =   pcit_if_read_processing_out ;
751
wire            pcit_sm_target_abort_in             =   pcit_if_target_abort_out ;
752
wire            pcit_sm_disconect_wo_data_in        =   pcit_if_disconect_wo_data_out ;
753
wire            pcit_sm_pciw_fifo_full_in           =   pcit_if_pciw_fifo_full_out ;
754
wire            pcit_sm_pcir_fifo_data_err_in       =   pcit_if_pcir_fifo_data_err_out ;
755
wire            pcit_sm_wbw_fifo_empty_in           =   pcit_if_wbw_fifo_empty_out ;
756
wire            pcit_sm_wbu_frame_en_in                         =       pciu_wbu_frame_en_in ;
757
 
758
PCI_TARGET32_SM                                 pci_target_sm
759
(
760
    .clk_in                                             (pci_clock_in),
761
    .reset_in                                   (reset_in),
762
    .pci_frame_in                               (pcit_sm_frame_in),
763
    .pci_irdy_in                                (pcit_sm_irdy_in),
764
    .pci_idsel_in                               (pcit_sm_idsel_in),
765
    .pci_frame_reg_in                   (pcit_sm_frame_reg_in),
766
    .pci_irdy_reg_in                    (pcit_sm_irdy_reg_in),
767
    .pci_idsel_reg_in                   (pcit_sm_idsel_reg_in),
768
    .pci_trdy_out                               (pcit_sm_trdy_out),
769
    .pci_stop_out                               (pcit_sm_stop_out),
770
    .pci_devsel_out                             (pcit_sm_devsel_out),
771
    .pci_trdy_en_out                    (pcit_sm_trdy_en_out),
772
    .pci_stop_en_out                    (pcit_sm_stop_en_out),
773
    .pci_devsel_en_out                  (pcit_sm_devsel_en_out),
774
    .pci_target_load_out                (pcit_sm_target_load_out),
775
    .pci_ad_reg_in                              (pcit_sm_ad_reg_in),
776
    .pci_ad_out                                 (pcit_sm_ad_out),
777
    .pci_ad_en_out                              (pcit_sm_ad_en_out),
778
    .pci_cbe_reg_in                             (pcit_sm_cbe_reg_in),
779
    .bckp_trdy_en_in                    (pcit_sm_bckp_trdy_en_in),
780
    .bckp_devsel_in                             (pcit_sm_bckp_devsel_in),
781
    .bckp_trdy_in                               (pcit_sm_bckp_trdy_in),
782
    .bckp_stop_in                               (pcit_sm_bckp_stop_in),
783
    .address_out                                (pcit_sm_address_out),
784
    .addr_claim_in                              (pcit_sm_addr_claim_in),
785
    .bc_out                                             (pcit_sm_bc_out),
786
    .bc0_out                                    (pcit_sm_bc0_out),
787
    .data_out                                   (pcit_sm_data_out),
788
    .data_in                                    (pcit_sm_data_in),
789
    .be_out                                             (pcit_sm_be_out),
790
    .req_out                                    (pcit_sm_req_out),
791
    .rdy_out                                    (pcit_sm_rdy_out),
792
    .addr_phase_out                             (pcit_sm_addr_phase_out),
793
    .bckp_trdy_out                              (pcit_sm_bckp_trdy_out),
794
    .last_reg_out                               (pcit_sm_last_reg_out),
795
    .frame_reg_out                              (pcit_sm_frame_reg_out),
796
    .fetch_pcir_fifo_out                (pcit_sm_fetch_pcir_fifo_out),
797
    .load_medium_reg_out                (pcit_sm_load_medium_reg_out),
798
    .sel_fifo_mreg_out                  (pcit_sm_sel_fifo_mreg_out),
799
    .sel_conf_fifo_out                  (pcit_sm_sel_conf_fifo_out),
800
    .fetch_conf_out                             (pcit_sm_fetch_conf_out),
801
    .load_to_pciw_fifo_out              (pcit_sm_load_to_pciw_fifo_out),
802
    .load_to_conf_out                   (pcit_sm_load_to_conf_out),
803
        .same_read_in                           (pcit_sm_same_read_in),
804
        .norm_access_to_config_in       (pcit_sm_norm_access_to_config_in),
805
        .read_completed_in                      (pcit_sm_read_completed_in),
806
        .read_processing_in                     (pcit_sm_read_processing_in),
807
        .target_abort_in                        (pcit_sm_target_abort_in),
808
        .disconect_wo_data_in           (pcit_sm_disconect_wo_data_in),
809
        .target_abort_set_out           (pcit_sm_target_abort_set_out),
810
        .pciw_fifo_full_in                      (pcit_sm_pciw_fifo_full_in),
811
        .pcir_fifo_data_err_in          (pcit_sm_pcir_fifo_data_err_in),
812
        .wbw_fifo_empty_in          (pcit_sm_wbw_fifo_empty_in),
813
        .wbu_frame_en_in                        (pcit_sm_wbu_frame_en_in)
814
) ;
815
 
816
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.