OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [pci_target_unit.v] - Blame information for rev 62

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name: pci_target_unit.v                                ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Tadej Markovic, tadej@opencores.org                   ////
10
////                                                              ////
11
////  All additional information is avaliable in the README.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2000 Tadej Markovic, tadej@opencores.org       ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 62 mihad
// Revision 1.6  2002/09/25 15:53:52  mihad
46
// Removed all logic from asynchronous reset network
47
//
48 58 mihad
// Revision 1.5  2002/03/05 11:53:47  mihad
49
// Added some testcases, removed un-needed fifo signals
50
//
51 33 mihad
// Revision 1.4  2002/02/19 16:32:37  mihad
52
// Modified testbench and fixed some bugs
53
//
54 26 mihad
// Revision 1.3  2002/02/01 15:25:13  mihad
55
// Repaired a few bugs, updated specification, added test bench files and design document
56
//
57 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
58
// Updated all files with inclusion of timescale file for simulation purposes.
59
//
60 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
61
// New project directory structure
62 2 mihad
//
63 6 mihad
//
64 2 mihad
 
65
// Module instantiates and connects other modules lower in hierarcy
66
// PCI target unit consists of modules that together form datapath
67
// between external WISHBONE slaves and external PCI initiators
68 21 mihad
`include "pci_constants.v"
69
 
70
// synopsys translate_off
71 6 mihad
`include "timescale.v"
72 21 mihad
// synopsys translate_on
73 2 mihad
 
74
module PCI_TARGET_UNIT
75
(
76
    reset_in,
77
    wb_clock_in,
78
    pci_clock_in,
79
    ADR_O,
80
    MDATA_O,
81
    MDATA_I,
82
    CYC_O,
83
    STB_O,
84
    WE_O,
85
    SEL_O,
86
    ACK_I,
87
    RTY_I,
88
    ERR_I,
89
    CAB_O,
90 21 mihad
    pciu_mem_enable_in,
91
    pciu_io_enable_in,
92 2 mihad
    pciu_map_in,
93
    pciu_pref_en_in,
94 21 mihad
    pciu_conf_data_in,
95 2 mihad
    pciu_wbw_fifo_empty_in,
96 21 mihad
    pciu_wbu_del_read_comp_pending_in,
97 2 mihad
    pciu_wbu_frame_en_in,
98 21 mihad
    pciu_bar0_in,
99
    pciu_bar1_in,
100
    pciu_bar2_in,
101
    pciu_bar3_in,
102
    pciu_bar4_in,
103
    pciu_bar5_in,
104
    pciu_am0_in,
105
    pciu_am1_in,
106
    pciu_am2_in,
107
    pciu_am3_in,
108
    pciu_am4_in,
109
    pciu_am5_in,
110
    pciu_ta0_in,
111
    pciu_ta1_in,
112
    pciu_ta2_in,
113
    pciu_ta3_in,
114
    pciu_ta4_in,
115
    pciu_ta5_in,
116
    pciu_at_en_in,
117
    pciu_cache_line_size_in,
118
    pciu_cache_lsize_not_zero_in,
119
    pciu_pciif_frame_in,
120
    pciu_pciif_irdy_in,
121
    pciu_pciif_idsel_in,
122
    pciu_pciif_frame_reg_in,
123
    pciu_pciif_irdy_reg_in,
124
    pciu_pciif_idsel_reg_in,
125
    pciu_pciif_ad_reg_in,
126
    pciu_pciif_cbe_reg_in,
127
    pciu_pciif_bckp_trdy_en_in,
128
    pciu_pciif_bckp_devsel_in,
129
    pciu_pciif_bckp_trdy_in,
130
    pciu_pciif_bckp_stop_in,
131
    pciu_pciif_trdy_reg_in,
132
    pciu_pciif_stop_reg_in,
133
    pciu_pciif_trdy_out,
134
    pciu_pciif_stop_out,
135
    pciu_pciif_devsel_out,
136
    pciu_pciif_trdy_en_out,
137
    pciu_pciif_stop_en_out,
138
    pciu_pciif_devsel_en_out,
139
    pciu_ad_load_out,
140
    pciu_ad_load_on_transfer_out,
141
    pciu_pciif_ad_out,
142
    pciu_pciif_ad_en_out,
143
    pciu_pciif_tabort_set_out,
144
    pciu_err_addr_out,
145 2 mihad
    pciu_err_bc_out,
146
    pciu_err_data_out,
147 21 mihad
    pciu_err_be_out,
148
    pciu_err_signal_out,
149
    pciu_err_source_out,
150 2 mihad
    pciu_err_rty_exp_out,
151
    pciu_conf_offset_out,
152
    pciu_conf_renable_out,
153
    pciu_conf_wenable_out,
154 21 mihad
    pciu_conf_be_out,
155
    pciu_conf_data_out,
156 2 mihad
    pciu_conf_select_out,
157
    pciu_pci_drcomp_pending_out,
158
    pciu_pciw_fifo_empty_out
159 62 mihad
 
160
`ifdef PCI_BIST
161
    ,
162
    // debug chain signals
163
    SO         ,
164
    SI         ,
165
    shift_DR   ,
166
    capture_DR ,
167
    extest     ,
168
    tck
169
`endif
170 2 mihad
);
171
 
172
input reset_in,
173
      wb_clock_in,
174
      pci_clock_in ;
175
 
176 21 mihad
output  [31:0]  ADR_O   ;
177 2 mihad
output  [31:0]  MDATA_O ;
178
input   [31:0]  MDATA_I ;
179
output          CYC_O   ;
180
output          STB_O   ;
181
output          WE_O    ;
182
output  [3:0]   SEL_O   ;
183
input           ACK_I   ;
184
input           RTY_I   ;
185
input           ERR_I   ;
186
output          CAB_O   ;
187
 
188
input           pciu_wbw_fifo_empty_in ;
189 21 mihad
input                   pciu_wbu_del_read_comp_pending_in ;
190
input           pciu_wbu_frame_en_in ;
191 2 mihad
 
192
input           pciu_mem_enable_in ;
193
input           pciu_io_enable_in ;
194
input   [5:0]   pciu_map_in ;
195
input   [5:0]   pciu_pref_en_in ;
196
input   [31:0]  pciu_conf_data_in ;
197
 
198 21 mihad
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in ;
199
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in ;
200
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in ;
201
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in ;
202
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in ;
203
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in ;
204
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in ;
205
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in ;
206
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in ;
207
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in ;
208
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in ;
209
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in ;
210
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in ;
211
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in ;
212
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in ;
213
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in ;
214
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in ;
215
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in ;
216 2 mihad
input   [5:0]                               pciu_at_en_in ;
217
 
218
input   [7:0]   pciu_cache_line_size_in ;
219 21 mihad
input           pciu_cache_lsize_not_zero_in ;
220 2 mihad
 
221 21 mihad
input           pciu_pciif_frame_in ;
222
input           pciu_pciif_irdy_in ;
223 2 mihad
input           pciu_pciif_idsel_in ;
224
input           pciu_pciif_frame_reg_in ;
225
input           pciu_pciif_irdy_reg_in ;
226
input           pciu_pciif_idsel_reg_in ;
227
input  [31:0]   pciu_pciif_ad_reg_in ;
228
input   [3:0]   pciu_pciif_cbe_reg_in ;
229 21 mihad
input           pciu_pciif_bckp_trdy_en_in ;
230
input           pciu_pciif_bckp_devsel_in ;
231
input           pciu_pciif_bckp_trdy_in ;
232
input           pciu_pciif_bckp_stop_in ;
233
input           pciu_pciif_trdy_reg_in ;
234
input           pciu_pciif_stop_reg_in ;
235 2 mihad
 
236
 
237 21 mihad
output          pciu_pciif_trdy_out ;
238
output          pciu_pciif_stop_out ;
239
output          pciu_pciif_devsel_out ;
240 2 mihad
output          pciu_pciif_trdy_en_out ;
241
output          pciu_pciif_stop_en_out ;
242
output          pciu_pciif_devsel_en_out ;
243 21 mihad
output          pciu_ad_load_out ;
244
output          pciu_ad_load_on_transfer_out ;
245
output [31:0]   pciu_pciif_ad_out ;
246
output          pciu_pciif_ad_en_out ;
247
output          pciu_pciif_tabort_set_out ;
248 2 mihad
 
249
output  [31:0]  pciu_err_addr_out ;
250
output  [3:0]   pciu_err_bc_out ;
251 21 mihad
output  [31:0]  pciu_err_data_out ;
252
output  [3:0]   pciu_err_be_out ;
253 2 mihad
output          pciu_err_signal_out ;
254
output          pciu_err_source_out ;
255
output          pciu_err_rty_exp_out ;
256
 
257 21 mihad
output          pciu_conf_select_out ;
258 2 mihad
output  [11:0]  pciu_conf_offset_out ;
259
output          pciu_conf_renable_out ;
260
output          pciu_conf_wenable_out ;
261
output  [3:0]   pciu_conf_be_out ;
262
output  [31:0]  pciu_conf_data_out ;
263
 
264 21 mihad
output          pciu_pci_drcomp_pending_out ;
265
output          pciu_pciw_fifo_empty_out ;
266 2 mihad
 
267 62 mihad
`ifdef PCI_BIST
268
/*-----------------------------------------------------
269
BIST debug chain port signals
270
-----------------------------------------------------*/
271
output  SO ;
272
input   SI ;
273
input   shift_DR ;
274
input   capture_DR ;
275
input   extest ;
276
input   tck ;
277
`endif
278 2 mihad
 
279 62 mihad
 
280 2 mihad
// pci target state machine and interface outputs
281
wire        pcit_sm_trdy_out ;
282
wire        pcit_sm_stop_out ;
283
wire        pcit_sm_devsel_out ;
284
wire        pcit_sm_trdy_en_out ;
285
wire        pcit_sm_stop_en_out ;
286
wire        pcit_sm_devsel_en_out ;
287 21 mihad
wire        pcit_sm_ad_load_out ;
288
wire        pcit_sm_ad_load_on_transfer_out ;
289 2 mihad
wire [31:0] pcit_sm_ad_out ;
290
wire        pcit_sm_ad_en_out ;
291
wire [31:0] pcit_sm_address_out ;
292
wire  [3:0] pcit_sm_bc_out ;
293 21 mihad
wire        pcit_sm_bc0_out ;
294 2 mihad
wire [31:0] pcit_sm_data_out ;
295
wire  [3:0] pcit_sm_be_out ;
296
wire        pcit_sm_req_out ;
297
wire        pcit_sm_rdy_out ;
298 21 mihad
wire        pcit_sm_addr_phase_out ;
299
wire            pcit_sm_bckp_devsel_out ;
300
wire        pcit_sm_bckp_trdy_out ;
301
wire            pcit_sm_bckp_stop_out ;
302
wire        pcit_sm_last_reg_out ;
303
wire        pcit_sm_frame_reg_out ;
304
wire        pcit_sm_fetch_pcir_fifo_out ;
305
wire        pcit_sm_load_medium_reg_out ;
306
wire        pcit_sm_sel_fifo_mreg_out ;
307
wire        pcit_sm_sel_conf_fifo_out ;
308
wire        pcit_sm_fetch_conf_out ;
309
wire        pcit_sm_load_to_pciw_fifo_out ;
310
wire        pcit_sm_load_to_conf_out ;
311 2 mihad
 
312 21 mihad
wire        pcit_sm_target_abort_set_out ; // to conf space
313 2 mihad
 
314 21 mihad
assign  pciu_pciif_trdy_out             =   pcit_sm_trdy_out ;
315
assign  pciu_pciif_stop_out             =   pcit_sm_stop_out ;
316
assign  pciu_pciif_devsel_out           =   pcit_sm_devsel_out ;
317
assign  pciu_pciif_trdy_en_out          =   pcit_sm_trdy_en_out ;
318
assign  pciu_pciif_stop_en_out          =   pcit_sm_stop_en_out ;
319
assign  pciu_pciif_devsel_en_out        =   pcit_sm_devsel_en_out ;
320
assign  pciu_ad_load_out                =   pcit_sm_ad_load_out ;
321
assign  pciu_ad_load_on_transfer_out    =   pcit_sm_ad_load_on_transfer_out ;
322
assign  pciu_pciif_ad_out               =   pcit_sm_ad_out ;
323
assign  pciu_pciif_ad_en_out            =   pcit_sm_ad_en_out ;
324
assign  pciu_pciif_tabort_set_out       =   pcit_sm_target_abort_set_out ;
325 2 mihad
 
326
wire        pcit_if_addr_claim_out ;
327
wire [31:0] pcit_if_data_out ;
328
wire        pcit_if_same_read_out ;
329
wire        pcit_if_norm_access_to_config_out ;
330
wire        pcit_if_read_completed_out ;
331
wire        pcit_if_read_processing_out ;
332
wire        pcit_if_target_abort_out ;
333
wire        pcit_if_disconect_wo_data_out ;
334 21 mihad
wire            pcit_if_disconect_w_data_out ;
335 2 mihad
wire        pcit_if_pciw_fifo_full_out ;
336
wire        pcit_if_pcir_fifo_data_err_out ;
337
wire        pcit_if_wbw_fifo_empty_out ;
338 21 mihad
wire            pcit_if_wbu_del_read_comp_pending_out ;
339 2 mihad
wire        pcit_if_req_out ;
340
wire        pcit_if_done_out ;
341
wire        pcit_if_in_progress_out ;
342
wire [31:0] pcit_if_addr_out ;
343
wire  [3:0] pcit_if_be_out ;
344
wire        pcit_if_we_out ;
345
wire  [3:0] pcit_if_bc_out ;
346 21 mihad
wire        pcit_if_burst_ok_out ;
347 2 mihad
wire        pcit_if_pcir_fifo_renable_out ;
348
wire        pcit_if_pcir_fifo_flush_out ;
349
wire        pcit_if_pciw_fifo_wenable_out ;
350
wire [31:0] pcit_if_pciw_fifo_addr_data_out ;
351
wire  [3:0] pcit_if_pciw_fifo_cbe_out ;
352
wire  [3:0] pcit_if_pciw_fifo_control_out ;
353
wire        pcit_if_conf_hit_out ;
354
wire [11:0] pcit_if_conf_addr_out ;
355
wire [31:0] pcit_if_conf_data_out ;
356
wire  [3:0] pcit_if_conf_be_out ;
357
wire        pcit_if_conf_we_out ;
358
wire        pcit_if_conf_re_out ;
359
 
360
// pci target state machine outputs
361
// pci interface signals
362 21 mihad
assign  pciu_conf_select_out    =   pcit_if_conf_hit_out ;
363
assign  pciu_conf_offset_out    =   pcit_if_conf_addr_out ;
364
assign  pciu_conf_renable_out   =   pcit_if_conf_re_out ;
365
assign  pciu_conf_wenable_out   =   pcit_if_conf_we_out ;
366
assign  pciu_conf_be_out        =   pcit_if_conf_be_out ;
367
assign  pciu_conf_data_out      =   pcit_if_conf_data_out ;
368 2 mihad
 
369
// wishbone master state machine outputs
370 21 mihad
wire        wbm_sm_wb_read_done ;
371 26 mihad
wire            wbm_sm_write_attempt ;
372 2 mihad
wire        wbm_sm_pcir_fifo_wenable_out ;
373
wire [31:0] wbm_sm_pcir_fifo_data_out ;
374
wire  [3:0] wbm_sm_pcir_fifo_be_out ;
375
wire  [3:0] wbm_sm_pcir_fifo_control_out ;
376
wire        wbm_sm_pciw_fifo_renable_out ;
377
wire        wbm_sm_pci_error_sig_out ;
378
wire  [3:0] wbm_sm_pci_error_bc ;
379
wire        wbm_sm_write_rty_cnt_exp_out ;
380 21 mihad
wire        wbm_sm_error_source_out ;
381 2 mihad
wire        wbm_sm_read_rty_cnt_exp_out ;
382
wire        wbm_sm_cyc_out ;
383
wire        wbm_sm_stb_out ;
384
wire        wbm_sm_we_out ;
385
wire  [3:0] wbm_sm_sel_out ;
386
wire [31:0] wbm_sm_adr_out ;
387
wire [31:0] wbm_sm_mdata_out ;
388
wire        wbm_sm_cab_out ;
389
 
390 21 mihad
assign  pciu_err_addr_out       =   wbm_sm_adr_out ;
391
assign  pciu_err_bc_out         =   wbm_sm_pci_error_bc ;
392
assign  pciu_err_data_out       =   wbm_sm_mdata_out ;
393
assign  pciu_err_be_out         =   ~wbm_sm_sel_out ;
394
assign  pciu_err_signal_out     =   wbm_sm_pci_error_sig_out ;
395
assign  pciu_err_source_out     =   wbm_sm_error_source_out ;
396
assign  pciu_err_rty_exp_out    =   wbm_sm_write_rty_cnt_exp_out ;
397 2 mihad
 
398 21 mihad
assign  ADR_O       =   wbm_sm_adr_out ;
399
assign  MDATA_O     =   wbm_sm_mdata_out ;
400
assign  CYC_O       =   wbm_sm_cyc_out ;
401
assign  STB_O       =   wbm_sm_stb_out ;
402
assign  WE_O        =   wbm_sm_we_out ;
403
assign  SEL_O       =   wbm_sm_sel_out ;
404
assign  CAB_O       =   wbm_sm_cab_out ;
405 2 mihad
 
406
// pciw_pcir fifo outputs
407
 
408
// pciw_fifo_outputs:
409
wire [31:0] fifos_pciw_addr_data_out ;
410
wire [3:0]  fifos_pciw_cbe_out ;
411
wire [3:0]  fifos_pciw_control_out ;
412 21 mihad
wire        fifos_pciw_two_left_out ;
413 2 mihad
wire        fifos_pciw_almost_full_out ;
414
wire        fifos_pciw_full_out ;
415 21 mihad
wire        fifos_pciw_almost_empty_out ;
416 2 mihad
wire        fifos_pciw_empty_out ;
417
wire        fifos_pciw_transaction_ready_out ;
418
 
419 26 mihad
assign  pciu_pciw_fifo_empty_out = !wbm_sm_write_attempt;
420 2 mihad
 
421
// pcir_fifo_outputs
422
wire [31:0] fifos_pcir_data_out ;
423
wire [3:0]  fifos_pcir_be_out ;
424
wire [3:0]  fifos_pcir_control_out ;
425
wire        fifos_pcir_almost_empty_out ;
426 21 mihad
wire        fifos_pcir_empty_out ;
427 2 mihad
 
428
// delayed transaction logic outputs
429
wire [31:0] del_sync_addr_out ;
430
wire [3:0]  del_sync_be_out ;
431
wire        del_sync_we_out ;
432
wire        del_sync_comp_req_pending_out ;
433
wire        del_sync_comp_comp_pending_out ;
434
wire        del_sync_req_req_pending_out ;
435
wire        del_sync_req_comp_pending_out ;
436
wire [3:0]  del_sync_bc_out ;
437
wire        del_sync_status_out ;
438
wire        del_sync_comp_flush_out ;
439
wire        del_sync_burst_out ;
440
 
441 21 mihad
assign  pciu_pci_drcomp_pending_out = del_sync_comp_comp_pending_out ;
442 2 mihad
 
443 21 mihad
// WISHBONE master interface inputs
444
wire        wbm_sm_pci_tar_read_request             =   del_sync_comp_req_pending_out ;
445
wire [31:0] wbm_sm_pci_tar_address                  =   del_sync_addr_out ;
446
wire  [3:0] wbm_sm_pci_tar_cmd                      =   del_sync_bc_out ;
447
wire  [3:0] wbm_sm_pci_tar_be                       =   del_sync_be_out ;
448
wire        wbm_sm_pci_tar_burst_ok                 =   del_sync_burst_out ;
449
wire  [7:0] wbm_sm_pci_cache_line_size              =   pciu_cache_line_size_in ;
450
wire        wbm_sm_cache_lsize_not_zero_in          =   pciu_cache_lsize_not_zero_in ;
451
wire [31:0] wbm_sm_pciw_fifo_addr_data_in           =   fifos_pciw_addr_data_out ;
452
wire  [3:0] wbm_sm_pciw_fifo_cbe_in                 =   fifos_pciw_cbe_out ;
453
wire  [3:0] wbm_sm_pciw_fifo_control_in             =   fifos_pciw_control_out ;
454
wire        wbm_sm_pciw_fifo_almost_empty_in        =   fifos_pciw_almost_empty_out ;
455
wire        wbm_sm_pciw_fifo_empty_in               =   fifos_pciw_empty_out ;
456
wire        wbm_sm_pciw_fifo_transaction_ready_in   =   fifos_pciw_transaction_ready_out ;
457
wire [31:0] wbm_sm_mdata_in                         =   MDATA_I ;
458
wire        wbm_sm_ack_in                           =   ACK_I ;
459
wire        wbm_sm_rty_in                           =   RTY_I ;
460
wire        wbm_sm_err_in                           =   ERR_I ;
461 2 mihad
 
462
// WISHBONE master interface instantiation
463
WB_MASTER wishbone_master
464
(
465 21 mihad
    .wb_clock_in                    (wb_clock_in),
466
    .reset_in                       (reset_in),
467
    .pci_tar_read_request           (wbm_sm_pci_tar_read_request),  //in
468
    .pci_tar_address                (wbm_sm_pci_tar_address),       //in
469
    .pci_tar_cmd                    (wbm_sm_pci_tar_cmd),           //in
470
    .pci_tar_be                     (wbm_sm_pci_tar_be),            //in
471
    .pci_tar_burst_ok                           (wbm_sm_pci_tar_burst_ok),              //in
472
    .pci_cache_line_size            (wbm_sm_pci_cache_line_size),   //in
473
    .cache_lsize_not_zero           (wbm_sm_cache_lsize_not_zero_in),
474
    .wb_read_done_out               (wbm_sm_wb_read_done),          //out
475 26 mihad
    .w_attempt                                          (wbm_sm_write_attempt),                 //out
476 21 mihad
    .pcir_fifo_wenable_out          (wbm_sm_pcir_fifo_wenable_out),
477
    .pcir_fifo_data_out             (wbm_sm_pcir_fifo_data_out),
478
    .pcir_fifo_be_out               (wbm_sm_pcir_fifo_be_out),
479
    .pcir_fifo_control_out          (wbm_sm_pcir_fifo_control_out),
480
    .pciw_fifo_renable_out          (wbm_sm_pciw_fifo_renable_out),
481
    .pciw_fifo_addr_data_in         (wbm_sm_pciw_fifo_addr_data_in),
482
    .pciw_fifo_cbe_in               (wbm_sm_pciw_fifo_cbe_in),
483
    .pciw_fifo_control_in           (wbm_sm_pciw_fifo_control_in),
484
    .pciw_fifo_almost_empty_in      (wbm_sm_pciw_fifo_almost_empty_in),
485
    .pciw_fifo_empty_in             (wbm_sm_pciw_fifo_empty_in),
486
    .pciw_fifo_transaction_ready_in (wbm_sm_pciw_fifo_transaction_ready_in),
487
    .pci_error_sig_out              (wbm_sm_pci_error_sig_out),
488
    .pci_error_bc                   (wbm_sm_pci_error_bc),
489
    .write_rty_cnt_exp_out          (wbm_sm_write_rty_cnt_exp_out),
490
    .error_source_out               (wbm_sm_error_source_out),
491
    .read_rty_cnt_exp_out           (wbm_sm_read_rty_cnt_exp_out),
492
    .CYC_O                          (wbm_sm_cyc_out),
493
    .STB_O                          (wbm_sm_stb_out),
494
    .WE_O                           (wbm_sm_we_out),
495
    .SEL_O                          (wbm_sm_sel_out),
496
    .ADR_O                          (wbm_sm_adr_out),
497
    .MDATA_I                        (wbm_sm_mdata_in),
498
    .MDATA_O                        (wbm_sm_mdata_out),
499
    .ACK_I                          (wbm_sm_ack_in),
500
    .RTY_I                          (wbm_sm_rty_in),
501
    .ERR_I                          (wbm_sm_err_in),
502
    .CAB_O                          (wbm_sm_cab_out)
503 2 mihad
);
504
 
505
// pciw_pcir_fifos inputs
506
// PCIW_FIFO inputs
507 21 mihad
wire        fifos_pciw_wenable_in       =   pcit_if_pciw_fifo_wenable_out ;
508
wire [31:0] fifos_pciw_addr_data_in     =   pcit_if_pciw_fifo_addr_data_out ;
509
wire [3:0]  fifos_pciw_cbe_in           =   pcit_if_pciw_fifo_cbe_out ;
510
wire [3:0]  fifos_pciw_control_in       =   pcit_if_pciw_fifo_control_out ;
511
wire        fifos_pciw_renable_in       =   wbm_sm_pciw_fifo_renable_out ;
512 58 mihad
//wire        fifos_pciw_flush_in         =   1'b0 ;    // flush not used for write fifo
513 2 mihad
 
514
// PCIR_FIFO inputs
515 21 mihad
wire        fifos_pcir_wenable_in       =   wbm_sm_pcir_fifo_wenable_out ;
516
wire [31:0] fifos_pcir_data_in          =   wbm_sm_pcir_fifo_data_out ;
517
wire [3:0]  fifos_pcir_be_in            =   wbm_sm_pcir_fifo_be_out ;
518
wire [3:0]  fifos_pcir_control_in       =   wbm_sm_pcir_fifo_control_out ;
519
wire        fifos_pcir_renable_in       =   pcit_if_pcir_fifo_renable_out ;
520
wire        fifos_pcir_flush_in         =   pcit_if_pcir_fifo_flush_out ;
521 2 mihad
 
522
// PCIW_FIFO and PCIR_FIFO instantiation
523
PCIW_PCIR_FIFOS fifos
524
(
525 21 mihad
    .wb_clock_in                (wb_clock_in),
526
    .pci_clock_in               (pci_clock_in),
527
    .reset_in                   (reset_in),
528
    .pciw_wenable_in            (fifos_pciw_wenable_in),      //for PCI Target !!!
529
    .pciw_addr_data_in          (fifos_pciw_addr_data_in),    //for PCI Target !!!
530
    .pciw_cbe_in                (fifos_pciw_cbe_in),          //for PCI Target !!!
531
    .pciw_control_in            (fifos_pciw_control_in),      //for PCI Target !!!
532
    .pciw_renable_in            (fifos_pciw_renable_in),
533
    .pciw_addr_data_out         (fifos_pciw_addr_data_out),
534
    .pciw_cbe_out               (fifos_pciw_cbe_out),
535
    .pciw_control_out           (fifos_pciw_control_out),
536 58 mihad
//    .pciw_flush_in              (fifos_pciw_flush_in),      // flush not used for write fifo
537 21 mihad
    .pciw_two_left_out          (fifos_pciw_two_left_out),    //for PCI Target !!!
538
    .pciw_almost_full_out       (fifos_pciw_almost_full_out), //for PCI Target !!!
539
    .pciw_full_out              (fifos_pciw_full_out),        //for PCI Target !!!
540
    .pciw_almost_empty_out      (fifos_pciw_almost_empty_out),
541
    .pciw_empty_out             (fifos_pciw_empty_out),
542
    .pciw_transaction_ready_out (fifos_pciw_transaction_ready_out),
543
    .pcir_wenable_in            (fifos_pcir_wenable_in),
544
    .pcir_data_in               (fifos_pcir_data_in),
545
    .pcir_be_in                 (fifos_pcir_be_in),
546
    .pcir_control_in            (fifos_pcir_control_in),
547
    .pcir_renable_in            (fifos_pcir_renable_in),      //for PCI Target !!!
548
    .pcir_data_out              (fifos_pcir_data_out),        //for PCI Target !!!
549
    .pcir_be_out                (fifos_pcir_be_out),          //for PCI Target !!!
550
    .pcir_control_out           (fifos_pcir_control_out),     //for PCI Target !!!
551
    .pcir_flush_in              (fifos_pcir_flush_in),        //for PCI Target !!!
552 26 mihad
    .pcir_full_out              (),
553 21 mihad
    .pcir_almost_empty_out      (fifos_pcir_almost_empty_out), //for PCI Target !!!
554
    .pcir_empty_out             (fifos_pcir_empty_out),        //for PCI Target !!!
555
    .pcir_transaction_ready_out ()
556 62 mihad
 
557
`ifdef PCI_BIST
558
    ,
559
    .SO         (SO),
560
    .SI         (SI),
561
    .shift_DR   (shift_DR),
562
    .capture_DR (capture_DR),
563
    .extest     (extest),
564
    .tck        (tck)
565
`endif
566 2 mihad
) ;
567
 
568
// delayed transaction logic inputs
569 21 mihad
wire        del_sync_req_in             =   pcit_if_req_out ;
570
wire        del_sync_comp_in            =   wbm_sm_wb_read_done ;
571
wire        del_sync_done_in            =   pcit_if_done_out ;
572
wire        del_sync_in_progress_in     =   pcit_if_in_progress_out ;
573
wire [31:0] del_sync_addr_in            =   pcit_if_addr_out ;
574
wire  [3:0] del_sync_be_in              =   pcit_if_be_out ;
575
wire        del_sync_we_in              =   pcit_if_we_out ;
576
wire  [3:0] del_sync_bc_in              =   pcit_if_bc_out ;
577
wire        del_sync_status_in          =   1'b0 ;
578
wire        del_sync_burst_in           =   pcit_if_burst_ok_out ;
579
wire        del_sync_retry_expired_in   =   wbm_sm_read_rty_cnt_exp_out ;
580 2 mihad
 
581
// delayed transaction logic instantiation
582 21 mihad
DELAYED_SYNC                del_sync
583 2 mihad
(
584 21 mihad
    .reset_in               (reset_in),
585
    .req_clk_in             (pci_clock_in),
586
    .comp_clk_in            (wb_clock_in),
587
    .req_in                 (del_sync_req_in),
588
    .comp_in                (del_sync_comp_in),
589
    .done_in                (del_sync_done_in),
590
    .in_progress_in         (del_sync_in_progress_in),
591
    .comp_req_pending_out   (del_sync_comp_req_pending_out),
592
    .comp_comp_pending_out  (del_sync_comp_comp_pending_out),
593
    .req_req_pending_out    (del_sync_req_req_pending_out),
594
    .req_comp_pending_out   (del_sync_req_comp_pending_out),
595
    .addr_in                (del_sync_addr_in),
596
    .be_in                  (del_sync_be_in),
597
    .addr_out               (del_sync_addr_out),
598
    .be_out                 (del_sync_be_out),
599
    .we_in                  (del_sync_we_in),
600
    .we_out                 (del_sync_we_out),
601
    .bc_in                  (del_sync_bc_in),
602
    .bc_out                 (del_sync_bc_out),
603
    .status_in              (del_sync_status_in),
604
    .status_out             (del_sync_status_out),
605
    .comp_flush_out         (del_sync_comp_flush_out),
606
    .burst_in               (del_sync_burst_in),
607
    .burst_out              (del_sync_burst_out),
608
    .retry_expired_in       (del_sync_retry_expired_in)
609 2 mihad
);
610
 
611
// pci target interface inputs
612 21 mihad
wire [31:0] pcit_if_address_in                      =   pcit_sm_address_out ;
613
wire  [3:0] pcit_if_bc_in                           =   pcit_sm_bc_out ;
614
wire        pcit_if_bc0_in                          =   pcit_sm_bc0_out ;
615
wire [31:0] pcit_if_data_in                         =   pcit_sm_data_out ;
616
wire  [3:0] pcit_if_be_in                           =   pcit_sm_be_out ;
617
wire        pcit_if_req_in                          =   pcit_sm_req_out ;
618
wire        pcit_if_rdy_in                          =   pcit_sm_rdy_out ;
619
wire        pcit_if_addr_phase_in                   =   pcit_sm_addr_phase_out ;
620
wire            pcit_if_bckp_devsel_in                                  =       pcit_sm_bckp_devsel_out ;
621
wire        pcit_if_bckp_trdy_in                    =   pcit_sm_bckp_trdy_out ;
622
wire            pcit_if_bckp_stop_in                                    =       pcit_sm_bckp_stop_out ;
623
wire        pcit_if_last_reg_in                     =   pcit_sm_last_reg_out ;
624
wire        pcit_if_frame_reg_in                    =   pcit_sm_frame_reg_out ;
625
wire        pcit_if_fetch_pcir_fifo_in              =   pcit_sm_fetch_pcir_fifo_out ;
626
wire        pcit_if_load_medium_reg_in              =   pcit_sm_load_medium_reg_out ;
627
wire        pcit_if_sel_fifo_mreg_in                =   pcit_sm_sel_fifo_mreg_out ;
628
wire        pcit_if_sel_conf_fifo_in                =   pcit_sm_sel_conf_fifo_out ;
629
wire        pcit_if_fetch_conf_in                   =   pcit_sm_fetch_conf_out ;
630
wire        pcit_if_load_to_pciw_fifo_in            =   pcit_sm_load_to_pciw_fifo_out ;
631
wire        pcit_if_load_to_conf_in                 =   pcit_sm_load_to_conf_out ;
632
wire        pcit_if_req_req_pending_in              =   del_sync_req_req_pending_out ;
633
wire        pcit_if_req_comp_pending_in             =   del_sync_req_comp_pending_out ;
634
wire        pcit_if_status_in                       =   del_sync_status_out ;
635
wire [31:0] pcit_if_strd_addr_in                    =   del_sync_addr_out ;
636
wire  [3:0] pcit_if_strd_bc_in                      =   del_sync_bc_out ;
637
wire        pcit_if_comp_flush_in                   =   del_sync_comp_flush_out ;
638
wire [31:0] pcit_if_pcir_fifo_data_in               =   fifos_pcir_data_out ;
639
wire  [3:0] pcit_if_pcir_fifo_be_in                 =   fifos_pcir_be_out ;
640
wire  [3:0] pcit_if_pcir_fifo_control_in            =   fifos_pcir_control_out ;
641
wire        pcit_if_pcir_fifo_almost_empty_in       =   fifos_pcir_almost_empty_out ;
642
wire        pcit_if_pcir_fifo_empty_in              =   fifos_pcir_empty_out ;
643
wire        pcit_if_pciw_fifo_two_left_in           =   fifos_pciw_two_left_out ;
644
wire        pcit_if_pciw_fifo_almost_full_in        =   fifos_pciw_almost_full_out ;
645
wire        pcit_if_pciw_fifo_full_in               =   fifos_pciw_full_out ;
646
wire        pcit_if_wbw_fifo_empty_in               =   pciu_wbw_fifo_empty_in ;
647
wire            pcit_if_wbu_del_read_comp_pending_in    =       pciu_wbu_del_read_comp_pending_in ;
648
wire [31:0] pcit_if_conf_data_in                    =   pciu_conf_data_in ;
649
wire        pcit_if_mem_enable_in                   =   pciu_mem_enable_in ;
650
wire        pcit_if_io_enable_in                    =   pciu_io_enable_in ;
651
wire        pcit_if_mem_io_addr_space0_in           =   pciu_map_in[0] ;
652
wire        pcit_if_mem_io_addr_space1_in           =   pciu_map_in[1] ;
653
wire        pcit_if_mem_io_addr_space2_in           =   pciu_map_in[2] ;
654
wire        pcit_if_mem_io_addr_space3_in           =   pciu_map_in[3] ;
655
wire        pcit_if_mem_io_addr_space4_in           =   pciu_map_in[4] ;
656
wire        pcit_if_mem_io_addr_space5_in           =   pciu_map_in[5] ;
657
wire        pcit_if_pre_fetch_en0_in                =   pciu_pref_en_in[0] ;
658
wire        pcit_if_pre_fetch_en1_in                =   pciu_pref_en_in[1] ;
659
wire        pcit_if_pre_fetch_en2_in                =   pciu_pref_en_in[2] ;
660
wire        pcit_if_pre_fetch_en3_in                =   pciu_pref_en_in[3] ;
661
wire        pcit_if_pre_fetch_en4_in                =   pciu_pref_en_in[4] ;
662
wire        pcit_if_pre_fetch_en5_in                =   pciu_pref_en_in[5] ;
663
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr0_in =   pciu_bar0_in ;
664
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr1_in =   pciu_bar1_in ;
665
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr2_in =   pciu_bar2_in ;
666
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr3_in =   pciu_bar3_in ;
667
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr4_in =   pciu_bar4_in ;
668
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr5_in =   pciu_bar5_in ;
669
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask0_in =   pciu_am0_in ;
670
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask1_in =   pciu_am1_in ;
671
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask2_in =   pciu_am2_in ;
672
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask3_in =   pciu_am3_in ;
673
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask4_in =   pciu_am4_in ;
674
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask5_in =   pciu_am5_in ;
675
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr0_in =   pciu_ta0_in ;
676
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr1_in =   pciu_ta1_in ;
677
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr2_in =   pciu_ta2_in ;
678
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr3_in =   pciu_ta3_in ;
679
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr4_in =   pciu_ta4_in ;
680
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr5_in =   pciu_ta5_in ;
681
wire        pcit_if_addr_tran_en0_in                =   pciu_at_en_in[0] ;
682
wire        pcit_if_addr_tran_en1_in                =   pciu_at_en_in[1] ;
683
wire        pcit_if_addr_tran_en2_in                =   pciu_at_en_in[2] ;
684
wire        pcit_if_addr_tran_en3_in                =   pciu_at_en_in[3] ;
685
wire        pcit_if_addr_tran_en4_in                =   pciu_at_en_in[4] ;
686
wire        pcit_if_addr_tran_en5_in                =   pciu_at_en_in[5] ;
687 2 mihad
 
688 21 mihad
PCI_TARGET32_INTERFACE              pci_target_if
689 2 mihad
(
690 21 mihad
    .clk_in                         (pci_clock_in),
691
    .reset_in                       (reset_in),
692
    .address_in                     (pcit_if_address_in),
693
    .addr_claim_out                 (pcit_if_addr_claim_out),
694
    .bc_in                          (pcit_if_bc_in),
695
    .bc0_in                         (pcit_if_bc0_in),
696
    .data_in                        (pcit_if_data_in),
697
    .data_out                       (pcit_if_data_out),
698
    .be_in                          (pcit_if_be_in),
699
    .req_in                         (pcit_if_req_in),
700
    .rdy_in                         (pcit_if_rdy_in),
701
    .addr_phase_in                  (pcit_if_addr_phase_in),
702
    .bckp_devsel_in                 (pcit_if_bckp_devsel_in),
703
    .bckp_trdy_in                   (pcit_if_bckp_trdy_in),
704
    .bckp_stop_in                   (pcit_if_bckp_stop_in),
705
    .last_reg_in                    (pcit_if_last_reg_in),
706
    .frame_reg_in                   (pcit_if_frame_reg_in),
707
    .fetch_pcir_fifo_in             (pcit_if_fetch_pcir_fifo_in),
708
    .load_medium_reg_in             (pcit_if_load_medium_reg_in),
709
    .sel_fifo_mreg_in               (pcit_if_sel_fifo_mreg_in),
710
    .sel_conf_fifo_in               (pcit_if_sel_conf_fifo_in),
711
    .fetch_conf_in                  (pcit_if_fetch_conf_in),
712
    .load_to_pciw_fifo_in           (pcit_if_load_to_pciw_fifo_in),
713
    .load_to_conf_in                (pcit_if_load_to_conf_in),
714
    .same_read_out                  (pcit_if_same_read_out),
715
    .norm_access_to_config_out      (pcit_if_norm_access_to_config_out),
716
    .read_completed_out             (pcit_if_read_completed_out),
717
    .read_processing_out            (pcit_if_read_processing_out),
718
    .target_abort_out               (pcit_if_target_abort_out),
719
    .disconect_wo_data_out          (pcit_if_disconect_wo_data_out),
720
    .disconect_w_data_out                       (pcit_if_disconect_w_data_out),
721
    .pciw_fifo_full_out             (pcit_if_pciw_fifo_full_out),
722
    .pcir_fifo_data_err_out         (pcit_if_pcir_fifo_data_err_out),
723
    .wbw_fifo_empty_out             (pcit_if_wbw_fifo_empty_out),
724
    .wbu_del_read_comp_pending_out      (pcit_if_wbu_del_read_comp_pending_out),
725
    .req_out                        (pcit_if_req_out),
726
    .done_out                       (pcit_if_done_out),
727
    .in_progress_out                (pcit_if_in_progress_out),
728
    .req_req_pending_in             (pcit_if_req_req_pending_in),
729
    .req_comp_pending_in            (pcit_if_req_comp_pending_in),
730
    .addr_out                       (pcit_if_addr_out),
731
    .be_out                         (pcit_if_be_out),
732
    .we_out                         (pcit_if_we_out),
733
    .bc_out                         (pcit_if_bc_out),
734
    .burst_ok_out                   (pcit_if_burst_ok_out),
735
    .strd_addr_in                   (pcit_if_strd_addr_in),
736
    .strd_bc_in                     (pcit_if_strd_bc_in),
737
    .status_in                      (pcit_if_status_in),
738
    .comp_flush_in                  (pcit_if_comp_flush_in),
739
    .pcir_fifo_renable_out          (pcit_if_pcir_fifo_renable_out),
740
    .pcir_fifo_data_in              (pcit_if_pcir_fifo_data_in),
741
    .pcir_fifo_be_in                (pcit_if_pcir_fifo_be_in),
742
    .pcir_fifo_control_in           (pcit_if_pcir_fifo_control_in),
743
    .pcir_fifo_flush_out            (pcit_if_pcir_fifo_flush_out),
744
    .pcir_fifo_almost_empty_in      (pcit_if_pcir_fifo_almost_empty_in),
745
    .pcir_fifo_empty_in             (pcit_if_pcir_fifo_empty_in),
746
    .pciw_fifo_wenable_out          (pcit_if_pciw_fifo_wenable_out),
747
    .pciw_fifo_addr_data_out        (pcit_if_pciw_fifo_addr_data_out),
748
    .pciw_fifo_cbe_out              (pcit_if_pciw_fifo_cbe_out),
749
    .pciw_fifo_control_out          (pcit_if_pciw_fifo_control_out),
750
    .pciw_fifo_two_left_in          (pcit_if_pciw_fifo_two_left_in),
751
    .pciw_fifo_almost_full_in       (pcit_if_pciw_fifo_almost_full_in),
752
    .pciw_fifo_full_in              (pcit_if_pciw_fifo_full_in),
753
    .wbw_fifo_empty_in              (pcit_if_wbw_fifo_empty_in),
754
    .wbu_del_read_comp_pending_in       (pcit_if_wbu_del_read_comp_pending_in),
755
    .conf_hit_out                   (pcit_if_conf_hit_out),
756
    .conf_addr_out                  (pcit_if_conf_addr_out),
757
    .conf_data_out                  (pcit_if_conf_data_out),
758
    .conf_data_in                   (pcit_if_conf_data_in),
759
    .conf_be_out                    (pcit_if_conf_be_out),
760
    .conf_we_out                    (pcit_if_conf_we_out),
761
    .conf_re_out                    (pcit_if_conf_re_out),
762
    .mem_enable_in                  (pcit_if_mem_enable_in),
763
    .io_enable_in                   (pcit_if_io_enable_in),
764
    .mem_io_addr_space0_in          (pcit_if_mem_io_addr_space0_in),
765
    .mem_io_addr_space1_in          (pcit_if_mem_io_addr_space1_in),
766
    .mem_io_addr_space2_in          (pcit_if_mem_io_addr_space2_in),
767
    .mem_io_addr_space3_in          (pcit_if_mem_io_addr_space3_in),
768
    .mem_io_addr_space4_in          (pcit_if_mem_io_addr_space4_in),
769
    .mem_io_addr_space5_in          (pcit_if_mem_io_addr_space5_in),
770
    .pre_fetch_en0_in               (pcit_if_pre_fetch_en0_in),
771
    .pre_fetch_en1_in               (pcit_if_pre_fetch_en1_in),
772
    .pre_fetch_en2_in               (pcit_if_pre_fetch_en2_in),
773
    .pre_fetch_en3_in               (pcit_if_pre_fetch_en3_in),
774
    .pre_fetch_en4_in               (pcit_if_pre_fetch_en4_in),
775
    .pre_fetch_en5_in               (pcit_if_pre_fetch_en5_in),
776
    .pci_base_addr0_in              (pcit_if_pci_base_addr0_in),
777
    .pci_base_addr1_in              (pcit_if_pci_base_addr1_in),
778
    .pci_base_addr2_in              (pcit_if_pci_base_addr2_in),
779
    .pci_base_addr3_in              (pcit_if_pci_base_addr3_in),
780
    .pci_base_addr4_in              (pcit_if_pci_base_addr4_in),
781
    .pci_base_addr5_in              (pcit_if_pci_base_addr5_in),
782
    .pci_addr_mask0_in              (pcit_if_pci_addr_mask0_in),
783
    .pci_addr_mask1_in              (pcit_if_pci_addr_mask1_in),
784
    .pci_addr_mask2_in              (pcit_if_pci_addr_mask2_in),
785
    .pci_addr_mask3_in              (pcit_if_pci_addr_mask3_in),
786
    .pci_addr_mask4_in              (pcit_if_pci_addr_mask4_in),
787
    .pci_addr_mask5_in              (pcit_if_pci_addr_mask5_in),
788
    .pci_tran_addr0_in              (pcit_if_pci_tran_addr0_in),
789
    .pci_tran_addr1_in              (pcit_if_pci_tran_addr1_in),
790
    .pci_tran_addr2_in              (pcit_if_pci_tran_addr2_in),
791
    .pci_tran_addr3_in              (pcit_if_pci_tran_addr3_in),
792
    .pci_tran_addr4_in              (pcit_if_pci_tran_addr4_in),
793
    .pci_tran_addr5_in              (pcit_if_pci_tran_addr5_in),
794
    .addr_tran_en0_in               (pcit_if_addr_tran_en0_in),
795
    .addr_tran_en1_in               (pcit_if_addr_tran_en1_in),
796
    .addr_tran_en2_in               (pcit_if_addr_tran_en2_in),
797
    .addr_tran_en3_in               (pcit_if_addr_tran_en3_in),
798
    .addr_tran_en4_in               (pcit_if_addr_tran_en4_in),
799
    .addr_tran_en5_in               (pcit_if_addr_tran_en5_in)
800 2 mihad
) ;
801
 
802
// pci target state machine inputs
803 21 mihad
wire        pcit_sm_frame_in                    =   pciu_pciif_frame_in ;
804
wire        pcit_sm_irdy_in                     =   pciu_pciif_irdy_in ;
805
wire        pcit_sm_idsel_in                    =   pciu_pciif_idsel_in ;
806
wire        pcit_sm_frame_reg_in                =   pciu_pciif_frame_reg_in ;
807
wire        pcit_sm_irdy_reg_in                 =   pciu_pciif_irdy_reg_in ;
808
wire        pcit_sm_idsel_reg_in                =   pciu_pciif_idsel_reg_in ;
809
wire [31:0] pcit_sm_ad_reg_in                   =   pciu_pciif_ad_reg_in ;
810
wire  [3:0] pcit_sm_cbe_reg_in                  =   pciu_pciif_cbe_reg_in ;
811
wire        pcit_sm_bckp_trdy_en_in             =   pciu_pciif_bckp_trdy_en_in ;
812
wire        pcit_sm_bckp_devsel_in              =   pciu_pciif_bckp_devsel_in ;
813
wire        pcit_sm_bckp_trdy_in                =   pciu_pciif_bckp_trdy_in ;
814
wire        pcit_sm_bckp_stop_in                =   pciu_pciif_bckp_stop_in ;
815
wire        pcit_sm_addr_claim_in               =   pcit_if_addr_claim_out ;
816
wire [31:0] pcit_sm_data_in                     =   pcit_if_data_out ;
817
wire        pcit_sm_same_read_in                =   pcit_if_same_read_out ;
818
wire        pcit_sm_norm_access_to_config_in    =   pcit_if_norm_access_to_config_out ;
819
wire        pcit_sm_read_completed_in           =   pcit_if_read_completed_out ;
820
wire        pcit_sm_read_processing_in          =   pcit_if_read_processing_out ;
821
wire        pcit_sm_target_abort_in             =   pcit_if_target_abort_out ;
822
wire        pcit_sm_disconect_wo_data_in        =   pcit_if_disconect_wo_data_out ;
823
wire            pcit_sm_disconect_w_data_in                     =       pcit_if_disconect_w_data_out ;
824
wire        pcit_sm_pciw_fifo_full_in           =   pcit_if_pciw_fifo_full_out ;
825
wire        pcit_sm_pcir_fifo_data_err_in       =   pcit_if_pcir_fifo_data_err_out ;
826
wire        pcit_sm_wbw_fifo_empty_in           =   pcit_if_wbw_fifo_empty_out ;
827
wire            pcit_sm_wbu_del_read_comp_pending_in    =       pcit_if_wbu_del_read_comp_pending_out ;
828
wire        pcit_sm_wbu_frame_en_in             =   pciu_wbu_frame_en_in ;
829
wire        pcit_sm_trdy_reg_in                 =   pciu_pciif_trdy_reg_in ;
830
wire        pcit_sm_stop_reg_in                 =   pciu_pciif_stop_reg_in ;
831 2 mihad
 
832 21 mihad
 
833
PCI_TARGET32_SM                 pci_target_sm
834 2 mihad
(
835 21 mihad
    .clk_in                             (pci_clock_in),
836
    .reset_in                           (reset_in),
837
    .pci_frame_in                       (pcit_sm_frame_in),
838
    .pci_irdy_in                        (pcit_sm_irdy_in),
839
    .pci_idsel_in                       (pcit_sm_idsel_in),
840
    .pci_frame_reg_in                   (pcit_sm_frame_reg_in),
841
    .pci_irdy_reg_in                    (pcit_sm_irdy_reg_in),
842
    .pci_idsel_reg_in                   (pcit_sm_idsel_reg_in),
843
    .pci_trdy_out                       (pcit_sm_trdy_out),
844
    .pci_stop_out                       (pcit_sm_stop_out),
845
    .pci_devsel_out                     (pcit_sm_devsel_out),
846
    .pci_trdy_en_out                    (pcit_sm_trdy_en_out),
847
    .pci_stop_en_out                    (pcit_sm_stop_en_out),
848
    .pci_devsel_en_out                  (pcit_sm_devsel_en_out),
849
    .ad_load_out                        (pcit_sm_ad_load_out),
850
    .ad_load_on_transfer_out            (pcit_sm_ad_load_on_transfer_out),
851
    .pci_ad_reg_in                      (pcit_sm_ad_reg_in),
852
    .pci_ad_out                         (pcit_sm_ad_out),
853
    .pci_ad_en_out                      (pcit_sm_ad_en_out),
854
    .pci_cbe_reg_in                     (pcit_sm_cbe_reg_in),
855
    .bckp_trdy_en_in                    (pcit_sm_bckp_trdy_en_in),
856
    .bckp_devsel_in                     (pcit_sm_bckp_devsel_in),
857
    .bckp_trdy_in                       (pcit_sm_bckp_trdy_in),
858
    .bckp_stop_in                       (pcit_sm_bckp_stop_in),
859
    .pci_trdy_reg_in                    (pcit_sm_trdy_reg_in),
860
    .pci_stop_reg_in                    (pcit_sm_stop_reg_in),
861
    .address_out                        (pcit_sm_address_out),
862
    .addr_claim_in                      (pcit_sm_addr_claim_in),
863
    .bc_out                             (pcit_sm_bc_out),
864
    .bc0_out                            (pcit_sm_bc0_out),
865
    .data_out                           (pcit_sm_data_out),
866
    .data_in                            (pcit_sm_data_in),
867
    .be_out                             (pcit_sm_be_out),
868
    .req_out                            (pcit_sm_req_out),
869
    .rdy_out                            (pcit_sm_rdy_out),
870
    .addr_phase_out                     (pcit_sm_addr_phase_out),
871
    .bckp_devsel_out                                    (pcit_sm_bckp_devsel_out),
872
    .bckp_trdy_out                      (pcit_sm_bckp_trdy_out),
873
    .bckp_stop_out                                              (pcit_sm_bckp_stop_out),
874
    .last_reg_out                       (pcit_sm_last_reg_out),
875
    .frame_reg_out                      (pcit_sm_frame_reg_out),
876
    .fetch_pcir_fifo_out                (pcit_sm_fetch_pcir_fifo_out),
877
    .load_medium_reg_out                (pcit_sm_load_medium_reg_out),
878
    .sel_fifo_mreg_out                  (pcit_sm_sel_fifo_mreg_out),
879
    .sel_conf_fifo_out                  (pcit_sm_sel_conf_fifo_out),
880
    .fetch_conf_out                     (pcit_sm_fetch_conf_out),
881
    .load_to_pciw_fifo_out              (pcit_sm_load_to_pciw_fifo_out),
882
    .load_to_conf_out                   (pcit_sm_load_to_conf_out),
883
    .same_read_in                       (pcit_sm_same_read_in),
884
    .norm_access_to_config_in           (pcit_sm_norm_access_to_config_in),
885
    .read_completed_in                  (pcit_sm_read_completed_in),
886
    .read_processing_in                 (pcit_sm_read_processing_in),
887
    .target_abort_in                    (pcit_sm_target_abort_in),
888
    .disconect_wo_data_in               (pcit_sm_disconect_wo_data_in),
889
    .disconect_w_data_in                                (pcit_sm_disconect_w_data_in),
890
    .target_abort_set_out               (pcit_sm_target_abort_set_out),
891
    .pciw_fifo_full_in                  (pcit_sm_pciw_fifo_full_in),
892
    .pcir_fifo_data_err_in              (pcit_sm_pcir_fifo_data_err_in),
893
    .wbw_fifo_empty_in                  (pcit_sm_wbw_fifo_empty_in),
894
    .wbu_del_read_comp_pending_in               (pcit_sm_wbu_del_read_comp_pending_in),
895
    .wbu_frame_en_in                    (pcit_sm_wbu_frame_en_in)
896 2 mihad
) ;
897
 
898 33 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.