OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [wb_slave_unit.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "wb_slave_unit.v"                                 ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 68 tadejm
// Revision 1.7  2002/10/17 22:49:22  tadejm
46
// Changed BIST signals for RAMs.
47
//
48 67 tadejm
// Revision 1.6  2002/10/11 10:09:01  mihad
49
// Added additional testcase and changed rst name in BIST to trst
50
//
51 63 mihad
// Revision 1.5  2002/10/08 17:17:06  mihad
52
// Added BIST signals for RAMs.
53
//
54 62 mihad
// Revision 1.4  2002/09/25 15:53:52  mihad
55
// Removed all logic from asynchronous reset network
56
//
57 58 mihad
// Revision 1.3  2002/02/01 15:25:13  mihad
58
// Repaired a few bugs, updated specification, added test bench files and design document
59
//
60 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
61
// Updated all files with inclusion of timescale file for simulation purposes.
62
//
63 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
64
// New project directory structure
65 2 mihad
//
66 6 mihad
//
67 2 mihad
 
68
// Module instantiates and connects other modules lower in hierarcy
69
// Wishbone slave unit consists of modules that together form datapath
70
// between external WISHBONE masters and external PCI targets
71 21 mihad
`include "pci_constants.v"
72
 
73
// synopsys translate_off
74 6 mihad
`include "timescale.v"
75 21 mihad
// synopsys translate_on
76
 
77 2 mihad
module WB_SLAVE_UNIT
78
(
79
    reset_in,
80
    wb_clock_in,
81
    pci_clock_in,
82
    ADDR_I,
83
    SDATA_I,
84
    SDATA_O,
85
    CYC_I,
86
    STB_I,
87
    WE_I,
88
    SEL_I,
89
    ACK_O,
90
    RTY_O,
91
    ERR_O,
92
    CAB_I,
93
    wbu_map_in,
94
    wbu_pref_en_in,
95
    wbu_mrl_en_in,
96
    wbu_pci_drcomp_pending_in,
97 21 mihad
    wbu_conf_data_in,
98 2 mihad
    wbu_pciw_empty_in,
99
    wbu_bar0_in,
100 21 mihad
    wbu_bar1_in,
101
    wbu_bar2_in,
102
    wbu_bar3_in,
103
    wbu_bar4_in,
104
    wbu_bar5_in,
105 2 mihad
    wbu_am0_in,
106
    wbu_am1_in,
107
    wbu_am2_in,
108
    wbu_am3_in,
109
    wbu_am4_in,
110
    wbu_am5_in,
111
    wbu_ta0_in,
112
    wbu_ta1_in,
113
    wbu_ta2_in,
114
    wbu_ta3_in,
115
    wbu_ta4_in,
116
    wbu_ta5_in,
117
    wbu_at_en_in,
118
    wbu_ccyc_addr_in ,
119
    wbu_master_enable_in,
120 21 mihad
    wbu_cache_line_size_not_zero,
121 2 mihad
    wbu_cache_line_size_in,
122
    wbu_pciif_gnt_in,
123 21 mihad
    wbu_pciif_frame_in,
124
    wbu_pciif_irdy_in,
125
    wbu_pciif_trdy_in,
126
    wbu_pciif_trdy_reg_in,
127
    wbu_pciif_stop_in,
128
    wbu_pciif_stop_reg_in,
129 2 mihad
    wbu_pciif_devsel_in,
130
    wbu_pciif_devsel_reg_in,
131
    wbu_pciif_ad_reg_in,
132
    wbu_pciif_req_out,
133 21 mihad
    wbu_pciif_frame_out,
134 2 mihad
    wbu_pciif_frame_en_out,
135
    wbu_pciif_frame_en_in,
136
    wbu_pciif_frame_out_in,
137
    wbu_pciif_frame_load_out,
138 21 mihad
    wbu_pciif_irdy_out,
139 2 mihad
    wbu_pciif_irdy_en_out,
140 21 mihad
    wbu_pciif_ad_out,
141
    wbu_pciif_ad_en_out,
142
    wbu_pciif_cbe_out,
143 2 mihad
    wbu_pciif_cbe_en_out,
144 21 mihad
    wbu_err_addr_out,
145
    wbu_err_bc_out,
146
    wbu_err_signal_out,
147
    wbu_err_source_out,
148 2 mihad
    wbu_err_rty_exp_out,
149 21 mihad
    wbu_tabort_rec_out,
150 2 mihad
    wbu_mabort_rec_out,
151
    wbu_conf_offset_out,
152
    wbu_conf_renable_out,
153
    wbu_conf_wenable_out,
154 21 mihad
    wbu_conf_be_out,
155
    wbu_conf_data_out,
156 2 mihad
    wbu_del_read_comp_pending_out,
157
    wbu_wbw_fifo_empty_out,
158
    wbu_latency_tim_val_in,
159 21 mihad
    wbu_ad_load_out,
160
    wbu_ad_load_on_transfer_out
161 62 mihad
 
162
`ifdef PCI_BIST
163
    ,
164
    // debug chain signals
165 67 tadejm
    scanb_rst,      // bist scan reset
166
    scanb_clk,      // bist scan clock
167
    scanb_si,       // bist scan serial in
168
    scanb_so,       // bist scan serial out
169 68 tadejm
    scanb_en        // bist scan shift enable
170 62 mihad
`endif
171 2 mihad
);
172
 
173
input reset_in,
174
      wb_clock_in,
175
      pci_clock_in ;
176
 
177
input   [31:0]  ADDR_I   ;
178
input   [31:0]  SDATA_I  ;
179
output  [31:0]  SDATA_O  ;
180
input           CYC_I    ;
181
input           STB_I    ;
182
input           WE_I     ;
183
input   [3:0]   SEL_I    ;
184
output          ACK_O    ;
185
output          RTY_O    ;
186
output          ERR_O    ;
187
input           CAB_I    ;
188
 
189
input   [5:0]   wbu_map_in ;
190
input   [5:0]   wbu_pref_en_in ;
191
input   [5:0]   wbu_mrl_en_in ;
192
 
193
input           wbu_pci_drcomp_pending_in ;
194
 
195
input   [31:0]  wbu_conf_data_in ;
196
 
197
input           wbu_pciw_empty_in ;
198
 
199 21 mihad
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in ;
200
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in ;
201
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in ;
202
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in ;
203
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in ;
204
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in ;
205
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in ;
206
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in ;
207
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in ;
208
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in ;
209
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in ;
210
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in ;
211
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in ;
212
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in ;
213
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in ;
214
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in ;
215
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in ;
216
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in ;
217 2 mihad
input   [5:0]                               wbu_at_en_in ;
218
 
219
input   [23:0]  wbu_ccyc_addr_in ;
220
 
221
input           wbu_master_enable_in ;
222
 
223 21 mihad
input                   wbu_cache_line_size_not_zero ;
224 2 mihad
input   [7:0]   wbu_cache_line_size_in ;
225
 
226 21 mihad
input           wbu_pciif_gnt_in ;
227
input           wbu_pciif_frame_in ;
228 2 mihad
input           wbu_pciif_frame_en_in ;
229 21 mihad
input           wbu_pciif_irdy_in ;
230
input           wbu_pciif_trdy_in;
231
input           wbu_pciif_trdy_reg_in;
232
input           wbu_pciif_stop_in ;
233
input           wbu_pciif_stop_reg_in ;
234 2 mihad
input           wbu_pciif_devsel_in ;
235
input           wbu_pciif_devsel_reg_in ;
236
input [31:0]    wbu_pciif_ad_reg_in ;
237
 
238
output          wbu_pciif_req_out ;
239
output          wbu_pciif_frame_out ;
240
output          wbu_pciif_frame_en_out ;
241
input           wbu_pciif_frame_out_in ;
242
output          wbu_pciif_frame_load_out ;
243
output          wbu_pciif_irdy_out ;
244
output          wbu_pciif_irdy_en_out ;
245
output  [31:0]  wbu_pciif_ad_out ;
246
output          wbu_pciif_ad_en_out ;
247
output  [3:0]   wbu_pciif_cbe_out ;
248
output          wbu_pciif_cbe_en_out ;
249
 
250
output  [31:0]  wbu_err_addr_out ;
251
output  [3:0]   wbu_err_bc_out ;
252
output          wbu_err_signal_out ;
253
output          wbu_err_source_out ;
254
output          wbu_err_rty_exp_out ;
255
output          wbu_tabort_rec_out ;
256
output          wbu_mabort_rec_out ;
257
 
258
output  [11:0]  wbu_conf_offset_out ;
259
output          wbu_conf_renable_out ;
260
output          wbu_conf_wenable_out ;
261
output  [3:0]   wbu_conf_be_out ;
262
output  [31:0]  wbu_conf_data_out ;
263
 
264
output          wbu_del_read_comp_pending_out ;
265
output          wbu_wbw_fifo_empty_out ;
266
 
267
input   [7:0]   wbu_latency_tim_val_in ;
268
 
269 21 mihad
output          wbu_ad_load_out ;
270
output          wbu_ad_load_on_transfer_out ;
271 2 mihad
 
272 62 mihad
`ifdef PCI_BIST
273
/*-----------------------------------------------------
274
BIST debug chain port signals
275
-----------------------------------------------------*/
276 67 tadejm
input   scanb_rst;      // bist scan reset
277
input   scanb_clk;      // bist scan clock
278
input   scanb_si;       // bist scan serial in
279
output  scanb_so;       // bist scan serial out
280 68 tadejm
input   scanb_en;       // bist scan shift enable
281 62 mihad
`endif
282 21 mihad
 
283 2 mihad
// pci master interface outputs
284 21 mihad
wire [31:0] pcim_if_address_out ;
285 2 mihad
wire [3:0]  pcim_if_bc_out ;
286 21 mihad
wire [31:0] pcim_if_data_out ;
287 2 mihad
wire [3:0]  pcim_if_be_out ;
288
wire        pcim_if_req_out ;
289
wire        pcim_if_rdy_out ;
290
wire        pcim_if_last_out ;
291
wire        pcim_if_wbw_renable_out ;
292
wire        pcim_if_wbr_wenable_out ;
293
wire [31:0] pcim_if_wbr_data_out ;
294
wire [3:0]  pcim_if_wbr_be_out ;
295
wire [3:0]  pcim_if_wbr_control_out ;
296
wire        pcim_if_del_complete_out ;
297
wire        pcim_if_del_error_out ;
298
wire        pcim_if_del_rty_exp_out ;
299
wire [31:0] pcim_if_err_addr_out ;
300
wire [3:0]  pcim_if_err_bc_out ;
301
wire        pcim_if_err_signal_out ;
302
wire        pcim_if_err_source_out ;
303
wire        pcim_if_err_rty_exp_out ;
304
wire        pcim_if_tabort_out ;
305
wire        pcim_if_mabort_out ;
306
wire [31:0] pcim_if_next_data_out ;
307
wire [3:0]  pcim_if_next_be_out ;
308
wire        pcim_if_next_last_out ;
309 21 mihad
wire        pcim_if_posted_write_not_present_out ;
310 2 mihad
 
311
 
312
 
313
wire        pcim_sm_req_out ;
314
wire        pcim_sm_frame_out ;
315
wire        pcim_sm_frame_en_out ;
316
wire        pcim_sm_irdy_out ;
317
wire        pcim_sm_irdy_en_out ;
318
wire [31:0] pcim_sm_ad_out ;
319
wire        pcim_sm_ad_en_out ;
320
wire [3:0]  pcim_sm_cbe_out ;
321
wire        pcim_sm_cbe_en_out ;
322 21 mihad
wire        pcim_sm_ad_load_out ;
323
wire        pcim_sm_ad_load_on_transfer_out ;
324 2 mihad
 
325
wire        pcim_sm_wait_out ;
326
wire        pcim_sm_wtransfer_out ;
327
wire        pcim_sm_rtransfer_out ;
328
wire        pcim_sm_retry_out ;
329
wire        pcim_sm_rerror_out ;
330
wire        pcim_sm_first_out ;
331
wire        pcim_sm_mabort_out ;
332
wire        pcim_sm_frame_load_out ;
333
 
334
assign wbu_pciif_frame_load_out = pcim_sm_frame_load_out ;
335
 
336
assign wbu_err_addr_out     =   pcim_if_err_addr_out ;
337
assign wbu_err_bc_out       =   pcim_if_err_bc_out ;
338 21 mihad
assign wbu_err_signal_out   =   pcim_if_err_signal_out ;
339
assign wbu_err_source_out   =   pcim_if_err_source_out ;
340 2 mihad
assign wbu_err_rty_exp_out  =   pcim_if_err_rty_exp_out ;
341 21 mihad
assign wbu_tabort_rec_out   =   pcim_if_tabort_out ;
342
assign wbu_mabort_rec_out   =   pcim_if_mabort_out ;
343 2 mihad
 
344 21 mihad
assign wbu_wbw_fifo_empty_out = pcim_if_posted_write_not_present_out ;
345
 
346 2 mihad
// pci master state machine outputs
347
// pci interface signals
348 21 mihad
assign  wbu_pciif_req_out           =           pcim_sm_req_out ;
349
assign  wbu_pciif_frame_out         =           pcim_sm_frame_out ;
350
assign  wbu_pciif_frame_en_out      =           pcim_sm_frame_en_out ;
351
assign  wbu_pciif_irdy_out          =           pcim_sm_irdy_out ;
352
assign  wbu_pciif_irdy_en_out       =           pcim_sm_irdy_en_out ;
353
assign  wbu_pciif_ad_out            =           pcim_sm_ad_out ;
354
assign  wbu_pciif_ad_en_out         =           pcim_sm_ad_en_out ;
355
assign  wbu_pciif_cbe_out           =           pcim_sm_cbe_out ;
356
assign  wbu_pciif_cbe_en_out        =           pcim_sm_cbe_en_out ;
357
assign  wbu_ad_load_out             =           pcim_sm_ad_load_out ;
358
assign  wbu_ad_load_on_transfer_out =           pcim_sm_ad_load_on_transfer_out ;
359 2 mihad
 
360
// signals to internal of the core
361
wire [31:0] pcim_sm_data_out ;
362
 
363
// wishbone slave state machine outputs
364
wire [3:0]  wbs_sm_del_bc_out ;
365
wire        wbs_sm_del_req_out ;
366
wire        wbs_sm_del_done_out ;
367
wire        wbs_sm_del_burst_out ;
368
wire        wbs_sm_del_write_out ;
369
wire [11:0] wbs_sm_conf_offset_out ;
370
wire        wbs_sm_conf_renable_out ;
371
wire        wbs_sm_conf_wenable_out ;
372
wire [3:0]  wbs_sm_conf_be_out ;
373
wire [31:0] wbs_sm_conf_data_out ;
374
wire [31:0] wbs_sm_data_out ;
375
wire [3:0]  wbs_sm_cbe_out ;
376
wire        wbs_sm_wbw_wenable_out ;
377
wire [3:0]  wbs_sm_wbw_control_out ;
378
wire        wbs_sm_wbr_renable_out ;
379
wire        wbs_sm_wbr_flush_out ;
380
wire        wbs_sm_del_in_progress_out ;
381
wire [31:0] wbs_sm_sdata_out ;
382
wire        wbs_sm_ack_out ;
383
wire        wbs_sm_rty_out ;
384
wire        wbs_sm_err_out ;
385 21 mihad
wire        wbs_sm_sample_address_out ;
386 2 mihad
 
387
assign wbu_conf_offset_out  = wbs_sm_conf_offset_out ;
388
assign wbu_conf_renable_out = wbs_sm_conf_renable_out ;
389
assign wbu_conf_wenable_out = wbs_sm_conf_wenable_out ;
390
assign wbu_conf_be_out      = ~wbs_sm_conf_be_out ;
391
assign wbu_conf_data_out    = wbs_sm_conf_data_out ;
392
 
393
assign SDATA_O = wbs_sm_sdata_out ;
394
assign ACK_O   = wbs_sm_ack_out ;
395
assign RTY_O   = wbs_sm_rty_out ;
396
assign ERR_O   = wbs_sm_err_out ;
397
 
398
 
399
// wbw_wbr fifo outputs
400
 
401
// wbw_fifo_outputs:
402
wire [31:0] fifos_wbw_addr_data_out ;
403
wire [3:0]  fifos_wbw_cbe_out ;
404
wire [3:0]  fifos_wbw_control_out ;
405
wire        fifos_wbw_almost_full_out ;
406
wire        fifos_wbw_full_out ;
407
wire        fifos_wbw_empty_out ;
408
wire        fifos_wbw_transaction_ready_out ;
409
 
410
// wbr_fifo_outputs
411
wire [31:0] fifos_wbr_data_out ;
412
wire [3:0]  fifos_wbr_be_out ;
413
wire [3:0]  fifos_wbr_control_out ;
414
wire        fifos_wbr_empty_out ;
415
 
416
// address multiplexer outputs
417
wire [5:0]  amux_hit_out ;
418
wire [31:0] amux_address_out ;
419
 
420
// delayed transaction logic outputs
421
wire [31:0] del_sync_addr_out ;
422
wire [3:0]  del_sync_be_out ;
423
wire        del_sync_we_out ;
424
wire        del_sync_comp_req_pending_out ;
425
wire        del_sync_comp_comp_pending_out ;
426
wire        del_sync_req_req_pending_out ;
427
wire        del_sync_req_comp_pending_out ;
428
wire [3:0]  del_sync_bc_out ;
429
wire        del_sync_status_out ;
430
wire        del_sync_comp_flush_out ;
431
wire        del_sync_burst_out ;
432
 
433
assign wbu_del_read_comp_pending_out = del_sync_comp_comp_pending_out ;
434
 
435 21 mihad
// delayed write storage output
436 2 mihad
wire [31:0] del_write_data_out ;
437
 
438
// config. cycle address decoder output
439
wire [31:0] ccyc_addr_out ;
440
 
441
 
442 21 mihad
// WISHBONE slave interface inputs
443 2 mihad
wire [4:0]  wbs_sm_hit_in                   =       amux_hit_out[5:1] ;
444
wire        wbs_sm_conf_hit_in              =       amux_hit_out[0]   ;
445
wire [4:0]  wbs_sm_map_in                   =       wbu_map_in[5:1]        ;
446
wire [4:0]  wbs_sm_pref_en_in               =       wbu_pref_en_in[5:1]    ;
447
wire [4:0]  wbs_sm_mrl_en_in                =       wbu_mrl_en_in[5:1]     ;
448
wire [31:0] wbs_sm_addr_in                  =       amux_address_out ;
449
wire [3:0]  wbs_sm_del_bc_in                =       del_sync_bc_out  ;
450
wire        wbs_sm_del_req_pending_in       =       del_sync_req_req_pending_out ;
451
wire        wbs_sm_wb_del_comp_pending_in   =       del_sync_req_comp_pending_out ;
452
wire        wbs_sm_pci_drcomp_pending_in    =       wbu_pci_drcomp_pending_in ;
453
wire        wbs_sm_del_write_in             =       del_sync_we_out ;
454
wire        wbs_sm_del_error_in             =       del_sync_status_out ;
455
wire [31:0] wbs_sm_del_addr_in              =       del_sync_addr_out ;
456
wire [3:0]  wbs_sm_del_be_in                =       del_sync_be_out ;
457
wire [31:0] wbs_sm_conf_data_in             =       wbu_conf_data_in ;
458
wire        wbs_sm_wbw_almost_full_in       =       fifos_wbw_almost_full_out ;
459
wire        wbs_sm_wbw_full_in              =       fifos_wbw_full_out ;
460
wire [3:0]  wbs_sm_wbr_be_in                =       fifos_wbr_be_out ;
461
wire [31:0] wbs_sm_wbr_data_in              =       fifos_wbr_data_out ;
462
wire [3:0]  wbs_sm_wbr_control_in           =       fifos_wbr_control_out ;
463
wire        wbs_sm_wbr_empty_in             =       fifos_wbr_empty_out ;
464
wire        wbs_sm_pciw_empty_in            =       wbu_pciw_empty_in ;
465 21 mihad
wire        wbs_sm_lock_in                  =       ~wbu_master_enable_in ;
466
wire            wbs_sm_cache_line_size_not_zero =               wbu_cache_line_size_not_zero ;
467 2 mihad
wire        wbs_sm_cyc_in                   =       CYC_I ;
468
wire        wbs_sm_stb_in                   =       STB_I ;
469
wire        wbs_sm_we_in                    =       WE_I  ;
470
wire [3:0]  wbs_sm_sel_in                   =       SEL_I ;
471
wire [31:0] wbs_sm_sdata_in                 =       SDATA_I ;
472
wire        wbs_sm_cab_in                   =       CAB_I ;
473
wire [31:0] wbs_sm_ccyc_addr_in             =       ccyc_addr_out ;
474
 
475
// WISHBONE slave interface instantiation
476
WB_SLAVE wishbone_slave(
477
                        .wb_clock_in              (wb_clock_in) ,
478
                        .reset_in                 (reset_in) ,
479
                        .wb_hit_in                (wbs_sm_hit_in) ,
480
                        .wb_conf_hit_in           (wbs_sm_conf_hit_in) ,
481
                        .wb_map_in                (wbs_sm_map_in) ,
482
                        .wb_pref_en_in            (wbs_sm_pref_en_in) ,
483
                        .wb_mrl_en_in             (wbs_sm_mrl_en_in) ,
484
                        .wb_addr_in               (wbs_sm_addr_in),
485
                        .del_bc_in                (wbs_sm_del_bc_in),
486
                        .wb_del_req_pending_in    (wbs_sm_del_req_pending_in),
487
                        .wb_del_comp_pending_in   (wbs_sm_wb_del_comp_pending_in),
488
                        .pci_drcomp_pending_in    (wbs_sm_pci_drcomp_pending_in),
489
                        .del_bc_out               (wbs_sm_del_bc_out),
490
                        .del_req_out              (wbs_sm_del_req_out),
491
                        .del_done_out             (wbs_sm_del_done_out),
492
                        .del_burst_out            (wbs_sm_del_burst_out),
493
                        .del_write_out            (wbs_sm_del_write_out),
494
                        .del_write_in             (wbs_sm_del_write_in),
495
                        .del_error_in             (wbs_sm_del_error_in),
496
                        .wb_del_addr_in           (wbs_sm_del_addr_in),
497
                        .wb_del_be_in             (wbs_sm_del_be_in),
498
                        .wb_conf_offset_out       (wbs_sm_conf_offset_out),
499
                        .wb_conf_renable_out      (wbs_sm_conf_renable_out),
500
                        .wb_conf_wenable_out      (wbs_sm_conf_wenable_out),
501
                        .wb_conf_be_out           (wbs_sm_conf_be_out),
502
                        .wb_conf_data_in          (wbs_sm_conf_data_in),
503
                        .wb_conf_data_out         (wbs_sm_conf_data_out),
504
                        .wb_data_out              (wbs_sm_data_out),
505
                        .wb_cbe_out               (wbs_sm_cbe_out),
506
                        .wbw_fifo_wenable_out     (wbs_sm_wbw_wenable_out),
507
                        .wbw_fifo_control_out     (wbs_sm_wbw_control_out),
508
                        .wbw_fifo_almost_full_in  (wbs_sm_wbw_almost_full_in),
509
                        .wbw_fifo_full_in         (wbs_sm_wbw_full_in),
510
                        .wbr_fifo_renable_out     (wbs_sm_wbr_renable_out),
511
                        .wbr_fifo_be_in           (wbs_sm_wbr_be_in),
512
                        .wbr_fifo_data_in         (wbs_sm_wbr_data_in),
513
                        .wbr_fifo_control_in      (wbs_sm_wbr_control_in),
514
                        .wbr_fifo_flush_out       (wbs_sm_wbr_flush_out),
515
                        .wbr_fifo_empty_in        (wbs_sm_wbr_empty_in),
516
                        .pciw_fifo_empty_in       (wbs_sm_pciw_empty_in),
517
                        .wbs_lock_in              (wbs_sm_lock_in),
518 21 mihad
                        .cache_line_size_not_zero (wbs_sm_cache_line_size_not_zero),
519 2 mihad
                        .del_in_progress_out      (wbs_sm_del_in_progress_out),
520
                        .ccyc_addr_in             (wbs_sm_ccyc_addr_in),
521 21 mihad
                        .sample_address_out       (wbs_sm_sample_address_out),
522 2 mihad
                        .CYC_I                    (wbs_sm_cyc_in),
523
                        .STB_I                    (wbs_sm_stb_in),
524
                        .WE_I                     (wbs_sm_we_in),
525
                        .SEL_I                    (wbs_sm_sel_in),
526
                        .SDATA_I                  (wbs_sm_sdata_in),
527
                        .SDATA_O                  (wbs_sm_sdata_out),
528
                        .ACK_O                    (wbs_sm_ack_out),
529
                        .RTY_O                    (wbs_sm_rty_out),
530
                        .ERR_O                    (wbs_sm_err_out),
531
                        .CAB_I                    (wbs_sm_cab_in)
532
                       );
533
 
534
// wbw_wbr_fifos inputs
535
// WBW_FIFO inputs
536
wire        fifos_wbw_wenable_in        =       wbs_sm_wbw_wenable_out;
537
wire [31:0] fifos_wbw_addr_data_in      =       wbs_sm_data_out ;
538
wire [3:0]  fifos_wbw_cbe_in            =       wbs_sm_cbe_out ;
539
wire [3:0]  fifos_wbw_control_in        =       wbs_sm_wbw_control_out ;
540
wire        fifos_wbw_renable_in        =       pcim_if_wbw_renable_out ;
541
 
542 58 mihad
//wire        fifos_wbw_flush_in          =       1'b0 ; flush for write fifo not used
543
 
544 2 mihad
// WBR_FIFO inputs
545
wire        fifos_wbr_wenable_in        =       pcim_if_wbr_wenable_out ;
546
wire [31:0] fifos_wbr_data_in           =       pcim_if_wbr_data_out ;
547
wire [3:0]  fifos_wbr_be_in             =       pcim_if_wbr_be_out ;
548
wire [3:0]  fifos_wbr_control_in        =       pcim_if_wbr_control_out ;
549
wire        fifos_wbr_renable_in        =       wbs_sm_wbr_renable_out ;
550
wire        fifos_wbr_flush_in          =       wbs_sm_wbr_flush_out || del_sync_comp_flush_out ;
551
 
552
// WBW_FIFO and WBR_FIFO instantiation
553 62 mihad
WBW_WBR_FIFOS fifos
554
(
555
    .wb_clock_in               (wb_clock_in),
556
    .pci_clock_in              (pci_clock_in),
557
    .reset_in                  (reset_in),
558
    .wbw_wenable_in            (fifos_wbw_wenable_in),
559
    .wbw_addr_data_in          (fifos_wbw_addr_data_in),
560
    .wbw_cbe_in                (fifos_wbw_cbe_in),
561
    .wbw_control_in            (fifos_wbw_control_in),
562
    .wbw_renable_in            (fifos_wbw_renable_in),
563
    .wbw_addr_data_out         (fifos_wbw_addr_data_out),
564
    .wbw_cbe_out               (fifos_wbw_cbe_out),
565
    .wbw_control_out           (fifos_wbw_control_out),
566
//    .wbw_flush_in              (fifos_wbw_flush_in),        // flush for write fifo not used
567
    .wbw_almost_full_out       (fifos_wbw_almost_full_out),
568
    .wbw_full_out              (fifos_wbw_full_out),
569
    .wbw_empty_out             (fifos_wbw_empty_out),
570
    .wbw_transaction_ready_out (fifos_wbw_transaction_ready_out),
571
    .wbr_wenable_in            (fifos_wbr_wenable_in),
572
    .wbr_data_in               (fifos_wbr_data_in),
573
    .wbr_be_in                 (fifos_wbr_be_in),
574
    .wbr_control_in            (fifos_wbr_control_in),
575
    .wbr_renable_in            (fifos_wbr_renable_in),
576
    .wbr_data_out              (fifos_wbr_data_out),
577
    .wbr_be_out                (fifos_wbr_be_out),
578
    .wbr_control_out           (fifos_wbr_control_out),
579
    .wbr_flush_in              (fifos_wbr_flush_in),
580
    .wbr_empty_out             (fifos_wbr_empty_out)
581 2 mihad
 
582 62 mihad
`ifdef PCI_BIST
583
    ,
584 67 tadejm
    .scanb_rst      (scanb_rst),
585
    .scanb_clk      (scanb_clk),
586
    .scanb_si       (scanb_si),
587
    .scanb_so       (scanb_so),
588 68 tadejm
    .scanb_en       (scanb_en)
589 62 mihad
`endif
590
) ;
591
 
592 2 mihad
wire [31:0] amux_addr_in  = ADDR_I ;
593 21 mihad
wire        amux_sample_address_in = wbs_sm_sample_address_out ;
594
 
595 2 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar0_in   =   wbu_bar0_in ;
596 21 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar1_in   =   wbu_bar1_in ;
597
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar2_in   =   wbu_bar2_in ;
598
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar3_in   =   wbu_bar3_in ;
599
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar4_in   =   wbu_bar4_in ;
600
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar5_in   =   wbu_bar5_in ;
601
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am0_in    =   wbu_am0_in ;
602
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am1_in    =   wbu_am1_in ;
603
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am2_in    =   wbu_am2_in ;
604
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am3_in    =   wbu_am3_in ;
605
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am4_in    =   wbu_am4_in ;
606
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am5_in    =   wbu_am5_in ;
607
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta0_in    =   wbu_ta0_in ;
608
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta1_in    =   wbu_ta1_in ;
609
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta2_in    =   wbu_ta2_in ;
610
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta3_in    =   wbu_ta3_in ;
611
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta4_in    =   wbu_ta4_in ;
612
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta5_in    =   wbu_ta5_in ;
613
wire [5:0]  amux_at_en_in = wbu_at_en_in ;
614 2 mihad
 
615
WB_ADDR_MUX wb_addr_dec
616
(
617 21 mihad
    `ifdef REGISTER_WBS_OUTPUTS
618
    .clk_in      (wb_clock_in),
619
    .reset_in    (reset_in),
620
    .sample_address_in (amux_sample_address_in),
621
    `endif
622 2 mihad
    .address_in  (amux_addr_in),
623
    .bar0_in     (amux_bar0_in),
624
    .bar1_in     (amux_bar1_in),
625
    .bar2_in     (amux_bar2_in),
626
    .bar3_in     (amux_bar3_in),
627
    .bar4_in     (amux_bar4_in),
628
    .bar5_in     (amux_bar5_in),
629
    .am0_in      (amux_am0_in),
630
    .am1_in      (amux_am1_in),
631
    .am2_in      (amux_am2_in),
632
    .am3_in      (amux_am3_in),
633
    .am4_in      (amux_am4_in),
634
    .am5_in      (amux_am5_in),
635
    .ta0_in      (amux_ta0_in),
636
    .ta1_in      (amux_ta1_in),
637
    .ta2_in      (amux_ta2_in),
638
    .ta3_in      (amux_ta3_in),
639
    .ta4_in      (amux_ta4_in),
640
    .ta5_in      (amux_ta5_in),
641
    .at_en_in    (amux_at_en_in),
642
    .hit_out     (amux_hit_out),
643
    .address_out (amux_address_out)
644
);
645
 
646
// delayed transaction logic inputs
647 21 mihad
wire        del_sync_req_in             =       wbs_sm_del_req_out ;
648 2 mihad
wire        del_sync_comp_in            =       pcim_if_del_complete_out ;
649
wire        del_sync_done_in            =       wbs_sm_del_done_out ;
650
wire        del_sync_in_progress_in     =       wbs_sm_del_in_progress_out ;
651
wire [31:0] del_sync_addr_in            =       wbs_sm_data_out ;
652
wire [3:0]  del_sync_be_in              =       wbs_sm_conf_be_out ;
653
wire        del_sync_we_in              =       wbs_sm_del_write_out ;
654
wire [3:0]  del_sync_bc_in              =       wbs_sm_del_bc_out ;
655
wire        del_sync_status_in          =       pcim_if_del_error_out ;
656
wire        del_sync_burst_in           =       wbs_sm_del_burst_out ;
657
wire        del_sync_retry_expired_in   =       pcim_if_del_rty_exp_out ;
658
 
659
// delayed transaction logic instantiation
660
DELAYED_SYNC del_sync  (
661
                            .reset_in             (reset_in),
662
                            .req_clk_in           (wb_clock_in),
663
                            .comp_clk_in          (pci_clock_in),
664
                            .req_in               (del_sync_req_in),
665
                            .comp_in              (del_sync_comp_in),
666
                            .done_in              (del_sync_done_in),
667
                            .in_progress_in       (del_sync_in_progress_in),
668
                            .comp_req_pending_out (del_sync_comp_req_pending_out),
669
                            .comp_comp_pending_out(del_sync_comp_comp_pending_out),
670
                            .req_req_pending_out  (del_sync_req_req_pending_out),
671
                            .req_comp_pending_out (del_sync_req_comp_pending_out),
672
                            .addr_in              (del_sync_addr_in),
673
                            .be_in                (del_sync_be_in),
674
                            .addr_out             (del_sync_addr_out),
675
                            .be_out               (del_sync_be_out),
676
                            .we_in                (del_sync_we_in),
677
                            .we_out               (del_sync_we_out),
678
                            .bc_in                (del_sync_bc_in),
679
                            .bc_out               (del_sync_bc_out),
680
                            .status_in            (del_sync_status_in),
681
                            .status_out           (del_sync_status_out),
682
                            .comp_flush_out       (del_sync_comp_flush_out),
683
                            .burst_in             (del_sync_burst_in),
684
                            .burst_out            (del_sync_burst_out),
685
                            .retry_expired_in     (del_sync_retry_expired_in)
686
                        );
687
 
688
// delayed write storage inputs
689
wire        del_write_we_in         =       wbs_sm_del_req_out && wbs_sm_del_write_out ;
690
wire [31:0] del_write_data_in       =       wbs_sm_conf_data_out ;
691
 
692
DELAYED_WRITE_REG delayed_write_data
693
(
694
        .reset_in       (reset_in),
695
        .req_clk_in     (wb_clock_in),
696
        .comp_wdata_out (del_write_data_out),
697
        .req_we_in      (del_write_we_in),
698
        .req_wdata_in   (del_write_data_in)
699
);
700
 
701
`ifdef HOST
702
    // configuration cycle address decoder input
703
    wire    [31:0]      ccyc_addr_in = {8'h00, wbu_ccyc_addr_in} ;
704
 
705
    CONF_CYC_ADDR_DEC ccyc_addr_dec
706
    (
707
        .ccyc_addr_in   (ccyc_addr_in),
708
        .ccyc_addr_out  (ccyc_addr_out)
709
    ) ;
710
`else
711
`ifdef GUEST
712
    assign ccyc_addr_out = 32'h0000_0000 ;
713
`endif
714
`endif
715
 
716
// pci master interface inputs
717
wire [31:0] pcim_if_wbw_addr_data_in            =           fifos_wbw_addr_data_out ;
718
wire [3:0]  pcim_if_wbw_cbe_in                  =           fifos_wbw_cbe_out ;
719
wire [3:0]  pcim_if_wbw_control_in              =           fifos_wbw_control_out ;
720
wire        pcim_if_wbw_empty_in                =           fifos_wbw_empty_out ;
721
wire        pcim_if_wbw_transaction_ready_in    =           fifos_wbw_transaction_ready_out ;
722 21 mihad
wire [31:0] pcim_if_data_in                     =           pcim_sm_data_out ;
723 2 mihad
wire [31:0] pcim_if_del_wdata_in                =           del_write_data_out ;
724
wire        pcim_if_del_req_in                  =           del_sync_comp_req_pending_out ;
725
wire [31:0] pcim_if_del_addr_in                 =           del_sync_addr_out ;
726
wire [3:0]  pcim_if_del_bc_in                   =           del_sync_bc_out ;
727
wire [3:0]  pcim_if_del_be_in                   =           del_sync_be_out ;
728
wire        pcim_if_del_burst_in                =           del_sync_burst_out ;
729
wire        pcim_if_del_we_in                   =           del_sync_we_out ;
730
wire [7:0]  pcim_if_cache_line_size_in          =           wbu_cache_line_size_in ;
731
wire        pcim_if_wait_in                     =           pcim_sm_wait_out ;
732
wire        pcim_if_wtransfer_in                =           pcim_sm_wtransfer_out ;
733
wire        pcim_if_rtransfer_in                =           pcim_sm_rtransfer_out ;
734
wire        pcim_if_retry_in                    =           pcim_sm_retry_out ;
735
wire        pcim_if_rerror_in                   =           pcim_sm_rerror_out ;
736
wire        pcim_if_first_in                    =           pcim_sm_first_out ;
737
wire        pcim_if_mabort_in                   =           pcim_sm_mabort_out ;
738
 
739
PCI_MASTER32_SM_IF pci_initiator_if
740
(
741
    .clk_in                        (pci_clock_in),
742
    .reset_in                      (reset_in),
743
    .address_out                   (pcim_if_address_out),
744
    .bc_out                        (pcim_if_bc_out),
745
    .data_out                      (pcim_if_data_out),
746
    .data_in                       (pcim_if_data_in),
747
    .be_out                        (pcim_if_be_out),
748
    .req_out                       (pcim_if_req_out),
749
    .rdy_out                       (pcim_if_rdy_out),
750
    .last_out                      (pcim_if_last_out),
751
    .wbw_renable_out               (pcim_if_wbw_renable_out),
752
    .wbw_fifo_addr_data_in         (pcim_if_wbw_addr_data_in),
753
    .wbw_fifo_cbe_in               (pcim_if_wbw_cbe_in),
754
    .wbw_fifo_control_in           (pcim_if_wbw_control_in),
755
    .wbw_fifo_empty_in             (pcim_if_wbw_empty_in),
756
    .wbw_fifo_transaction_ready_in (pcim_if_wbw_transaction_ready_in),
757
    .wbr_fifo_wenable_out          (pcim_if_wbr_wenable_out),
758
    .wbr_fifo_data_out             (pcim_if_wbr_data_out),
759
    .wbr_fifo_be_out               (pcim_if_wbr_be_out),
760
    .wbr_fifo_control_out          (pcim_if_wbr_control_out),
761
    .del_wdata_in                  (pcim_if_del_wdata_in),
762
    .del_complete_out              (pcim_if_del_complete_out),
763
    .del_req_in                    (pcim_if_del_req_in),
764
    .del_addr_in                   (pcim_if_del_addr_in),
765
    .del_bc_in                     (pcim_if_del_bc_in),
766
    .del_be_in                     (pcim_if_del_be_in),
767
    .del_burst_in                  (pcim_if_del_burst_in),
768
    .del_error_out                 (pcim_if_del_error_out),
769
    .del_rty_exp_out               (pcim_if_del_rty_exp_out),
770
    .del_we_in                     (pcim_if_del_we_in),
771
    .err_addr_out                  (pcim_if_err_addr_out),
772
    .err_bc_out                    (pcim_if_err_bc_out),
773
    .err_signal_out                (pcim_if_err_signal_out),
774
    .err_source_out                (pcim_if_err_source_out),
775
    .err_rty_exp_out               (pcim_if_err_rty_exp_out),
776
    .cache_line_size_in            (pcim_if_cache_line_size_in),
777 21 mihad
    .mabort_received_out           (pcim_if_mabort_out),
778
    .tabort_received_out           (pcim_if_tabort_out),
779 2 mihad
    .next_data_out                 (pcim_if_next_data_out),
780
    .next_be_out                   (pcim_if_next_be_out),
781
    .next_last_out                 (pcim_if_next_last_out),
782
    .wait_in                       (pcim_if_wait_in),
783
    .wtransfer_in                  (pcim_if_wtransfer_in),
784
    .rtransfer_in                  (pcim_if_rtransfer_in),
785
    .retry_in                      (pcim_if_retry_in),
786
    .rerror_in                     (pcim_if_rerror_in),
787
    .first_in                      (pcim_if_first_in),
788 21 mihad
    .mabort_in                     (pcim_if_mabort_in),
789
    .posted_write_not_present_out  (pcim_if_posted_write_not_present_out)
790 2 mihad
);
791
 
792
// pci master state machine inputs
793
wire        pcim_sm_gnt_in                  =       wbu_pciif_gnt_in ;
794
wire        pcim_sm_frame_in                =       wbu_pciif_frame_in ;
795
wire        pcim_sm_irdy_in                 =       wbu_pciif_irdy_in ;
796
wire        pcim_sm_trdy_in                 =       wbu_pciif_trdy_in;
797
wire        pcim_sm_stop_in                 =       wbu_pciif_stop_in ;
798
wire        pcim_sm_devsel_in               =       wbu_pciif_devsel_in ;
799
wire [31:0] pcim_sm_ad_reg_in               =       wbu_pciif_ad_reg_in ;
800
wire [31:0] pcim_sm_address_in              =       pcim_if_address_out ;
801
wire [3:0]  pcim_sm_bc_in                   =       pcim_if_bc_out ;
802
wire [31:0] pcim_sm_data_in                 =       pcim_if_data_out ;
803
wire [3:0]  pcim_sm_be_in                   =       pcim_if_be_out ;
804
wire        pcim_sm_req_in                  =       pcim_if_req_out ;
805
wire        pcim_sm_rdy_in                  =       pcim_if_rdy_out ;
806
wire        pcim_sm_last_in                 =       pcim_if_last_out ;
807
wire [7:0]  pcim_sm_latency_tim_val_in      =       wbu_latency_tim_val_in ;
808
wire [31:0] pcim_sm_next_data_in            =       pcim_if_next_data_out ;
809
wire [3:0]  pcim_sm_next_be_in              =       pcim_if_next_be_out ;
810
wire        pcim_sm_next_last_in            =       pcim_if_next_last_out ;
811 21 mihad
wire        pcim_sm_trdy_reg_in             =       wbu_pciif_trdy_reg_in ;
812
wire        pcim_sm_stop_reg_in             =       wbu_pciif_stop_reg_in ;
813 2 mihad
wire        pcim_sm_devsel_reg_in           =       wbu_pciif_devsel_reg_in ;
814
wire        pcim_sm_frame_en_in             =       wbu_pciif_frame_en_in ;
815
wire        pcim_sm_frame_out_in            =       wbu_pciif_frame_out_in ;
816
 
817
PCI_MASTER32_SM pci_initiator_sm
818
(
819 21 mihad
    .clk_in                     (pci_clock_in),
820
    .reset_in                   (reset_in),
821
    .pci_req_out                (pcim_sm_req_out),
822
    .pci_gnt_in                 (pcim_sm_gnt_in),
823
    .pci_frame_in               (pcim_sm_frame_in),
824
    .pci_frame_out              (pcim_sm_frame_out),
825
    .pci_frame_en_out           (pcim_sm_frame_en_out),
826
    .pci_frame_out_in           (pcim_sm_frame_out_in),
827
    .pci_frame_load_out         (pcim_sm_frame_load_out),
828
    .pci_frame_en_in            (pcim_sm_frame_en_in),
829
    .pci_irdy_in                (pcim_sm_irdy_in),
830
    .pci_irdy_out               (pcim_sm_irdy_out),
831
    .pci_irdy_en_out            (pcim_sm_irdy_en_out),
832
    .pci_trdy_in                (pcim_sm_trdy_in),
833
    .pci_trdy_reg_in            (pcim_sm_trdy_reg_in),
834
    .pci_stop_in                (pcim_sm_stop_in),
835
    .pci_stop_reg_in            (pcim_sm_stop_reg_in),
836
    .pci_devsel_in              (pcim_sm_devsel_in),
837
    .pci_devsel_reg_in          (pcim_sm_devsel_reg_in),
838
    .pci_ad_reg_in              (pcim_sm_ad_reg_in),
839
    .pci_ad_out                 (pcim_sm_ad_out),
840
    .pci_ad_en_out              (pcim_sm_ad_en_out),
841
    .pci_cbe_out                (pcim_sm_cbe_out),
842
    .pci_cbe_en_out             (pcim_sm_cbe_en_out),
843
    .address_in                 (pcim_sm_address_in),
844
    .bc_in                      (pcim_sm_bc_in),
845
    .data_in                    (pcim_sm_data_in),
846
    .data_out                   (pcim_sm_data_out),
847
    .be_in                      (pcim_sm_be_in),
848
    .req_in                     (pcim_sm_req_in),
849
    .rdy_in                     (pcim_sm_rdy_in),
850
    .last_in                    (pcim_sm_last_in),
851
    .latency_tim_val_in         (pcim_sm_latency_tim_val_in),
852
    .next_data_in               (pcim_sm_next_data_in),
853
    .next_be_in                 (pcim_sm_next_be_in),
854
    .next_last_in               (pcim_sm_next_last_in),
855
    .ad_load_out                (pcim_sm_ad_load_out),
856
    .ad_load_on_transfer_out    (pcim_sm_ad_load_on_transfer_out),
857
    .wait_out                   (pcim_sm_wait_out),
858
    .wtransfer_out              (pcim_sm_wtransfer_out),
859
    .rtransfer_out              (pcim_sm_rtransfer_out),
860
    .retry_out                  (pcim_sm_retry_out),
861
    .rerror_out                 (pcim_sm_rerror_out),
862
    .first_out                  (pcim_sm_first_out),
863
    .mabort_out                 (pcim_sm_mabort_out)
864 2 mihad
) ;
865
 
866 21 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.