OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [wb_slave_unit.v] - Blame information for rev 21

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "wb_slave_unit.v"                                 ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
46
// Updated all files with inclusion of timescale file for simulation purposes.
47
//
48 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
49
// New project directory structure
50 2 mihad
//
51 6 mihad
//
52 2 mihad
 
53
// Module instantiates and connects other modules lower in hierarcy
54
// Wishbone slave unit consists of modules that together form datapath
55
// between external WISHBONE masters and external PCI targets
56 21 mihad
`include "pci_constants.v"
57
 
58
// synopsys translate_off
59 6 mihad
`include "timescale.v"
60 21 mihad
// synopsys translate_on
61
 
62 2 mihad
module WB_SLAVE_UNIT
63
(
64
    reset_in,
65
    wb_clock_in,
66
    pci_clock_in,
67
    ADDR_I,
68
    SDATA_I,
69
    SDATA_O,
70
    CYC_I,
71
    STB_I,
72
    WE_I,
73
    SEL_I,
74
    ACK_O,
75
    RTY_O,
76
    ERR_O,
77
    CAB_I,
78
    wbu_map_in,
79
    wbu_pref_en_in,
80
    wbu_mrl_en_in,
81
    wbu_pci_drcomp_pending_in,
82 21 mihad
    wbu_conf_data_in,
83 2 mihad
    wbu_pciw_empty_in,
84
    wbu_bar0_in,
85 21 mihad
    wbu_bar1_in,
86
    wbu_bar2_in,
87
    wbu_bar3_in,
88
    wbu_bar4_in,
89
    wbu_bar5_in,
90 2 mihad
    wbu_am0_in,
91
    wbu_am1_in,
92
    wbu_am2_in,
93
    wbu_am3_in,
94
    wbu_am4_in,
95
    wbu_am5_in,
96
    wbu_ta0_in,
97
    wbu_ta1_in,
98
    wbu_ta2_in,
99
    wbu_ta3_in,
100
    wbu_ta4_in,
101
    wbu_ta5_in,
102
    wbu_at_en_in,
103
    wbu_ccyc_addr_in ,
104
    wbu_master_enable_in,
105 21 mihad
    wbu_cache_line_size_not_zero,
106 2 mihad
    wbu_cache_line_size_in,
107
    wbu_pciif_gnt_in,
108 21 mihad
    wbu_pciif_frame_in,
109
    wbu_pciif_irdy_in,
110
    wbu_pciif_trdy_in,
111
    wbu_pciif_trdy_reg_in,
112
    wbu_pciif_stop_in,
113
    wbu_pciif_stop_reg_in,
114 2 mihad
    wbu_pciif_devsel_in,
115
    wbu_pciif_devsel_reg_in,
116
    wbu_pciif_ad_reg_in,
117
    wbu_pciif_req_out,
118 21 mihad
    wbu_pciif_frame_out,
119 2 mihad
    wbu_pciif_frame_en_out,
120
    wbu_pciif_frame_en_in,
121
    wbu_pciif_frame_out_in,
122
    wbu_pciif_frame_load_out,
123 21 mihad
    wbu_pciif_irdy_out,
124 2 mihad
    wbu_pciif_irdy_en_out,
125 21 mihad
    wbu_pciif_ad_out,
126
    wbu_pciif_ad_en_out,
127
    wbu_pciif_cbe_out,
128 2 mihad
    wbu_pciif_cbe_en_out,
129 21 mihad
    wbu_err_addr_out,
130
    wbu_err_bc_out,
131
    wbu_err_signal_out,
132
    wbu_err_source_out,
133 2 mihad
    wbu_err_rty_exp_out,
134 21 mihad
    wbu_tabort_rec_out,
135 2 mihad
    wbu_mabort_rec_out,
136
    wbu_conf_offset_out,
137
    wbu_conf_renable_out,
138
    wbu_conf_wenable_out,
139 21 mihad
    wbu_conf_be_out,
140
    wbu_conf_data_out,
141 2 mihad
    wbu_del_read_comp_pending_out,
142
    wbu_wbw_fifo_empty_out,
143
    wbu_latency_tim_val_in,
144 21 mihad
    wbu_ad_load_out,
145
    wbu_ad_load_on_transfer_out
146 2 mihad
);
147
 
148
input reset_in,
149
      wb_clock_in,
150
      pci_clock_in ;
151
 
152
input   [31:0]  ADDR_I   ;
153
input   [31:0]  SDATA_I  ;
154
output  [31:0]  SDATA_O  ;
155
input           CYC_I    ;
156
input           STB_I    ;
157
input           WE_I     ;
158
input   [3:0]   SEL_I    ;
159
output          ACK_O    ;
160
output          RTY_O    ;
161
output          ERR_O    ;
162
input           CAB_I    ;
163
 
164
input   [5:0]   wbu_map_in ;
165
input   [5:0]   wbu_pref_en_in ;
166
input   [5:0]   wbu_mrl_en_in ;
167
 
168
input           wbu_pci_drcomp_pending_in ;
169
 
170
input   [31:0]  wbu_conf_data_in ;
171
 
172
input           wbu_pciw_empty_in ;
173
 
174 21 mihad
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in ;
175
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in ;
176
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in ;
177
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in ;
178
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in ;
179
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in ;
180
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in ;
181
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in ;
182
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in ;
183
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in ;
184
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in ;
185
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in ;
186
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in ;
187
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in ;
188
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in ;
189
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in ;
190
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in ;
191
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in ;
192 2 mihad
input   [5:0]                               wbu_at_en_in ;
193
 
194
input   [23:0]  wbu_ccyc_addr_in ;
195
 
196
input           wbu_master_enable_in ;
197
 
198 21 mihad
input                   wbu_cache_line_size_not_zero ;
199 2 mihad
input   [7:0]   wbu_cache_line_size_in ;
200
 
201 21 mihad
input           wbu_pciif_gnt_in ;
202
input           wbu_pciif_frame_in ;
203 2 mihad
input           wbu_pciif_frame_en_in ;
204 21 mihad
input           wbu_pciif_irdy_in ;
205
input           wbu_pciif_trdy_in;
206
input           wbu_pciif_trdy_reg_in;
207
input           wbu_pciif_stop_in ;
208
input           wbu_pciif_stop_reg_in ;
209 2 mihad
input           wbu_pciif_devsel_in ;
210
input           wbu_pciif_devsel_reg_in ;
211
input [31:0]    wbu_pciif_ad_reg_in ;
212
 
213
output          wbu_pciif_req_out ;
214
output          wbu_pciif_frame_out ;
215
output          wbu_pciif_frame_en_out ;
216
input           wbu_pciif_frame_out_in ;
217
output          wbu_pciif_frame_load_out ;
218
output          wbu_pciif_irdy_out ;
219
output          wbu_pciif_irdy_en_out ;
220
output  [31:0]  wbu_pciif_ad_out ;
221
output          wbu_pciif_ad_en_out ;
222
output  [3:0]   wbu_pciif_cbe_out ;
223
output          wbu_pciif_cbe_en_out ;
224
 
225
output  [31:0]  wbu_err_addr_out ;
226
output  [3:0]   wbu_err_bc_out ;
227
output          wbu_err_signal_out ;
228
output          wbu_err_source_out ;
229
output          wbu_err_rty_exp_out ;
230
output          wbu_tabort_rec_out ;
231
output          wbu_mabort_rec_out ;
232
 
233
output  [11:0]  wbu_conf_offset_out ;
234
output          wbu_conf_renable_out ;
235
output          wbu_conf_wenable_out ;
236
output  [3:0]   wbu_conf_be_out ;
237
output  [31:0]  wbu_conf_data_out ;
238
 
239
output          wbu_del_read_comp_pending_out ;
240
output          wbu_wbw_fifo_empty_out ;
241
 
242
input   [7:0]   wbu_latency_tim_val_in ;
243
 
244 21 mihad
output          wbu_ad_load_out ;
245
output          wbu_ad_load_on_transfer_out ;
246 2 mihad
 
247 21 mihad
 
248 2 mihad
// pci master interface outputs
249 21 mihad
wire [31:0] pcim_if_address_out ;
250 2 mihad
wire [3:0]  pcim_if_bc_out ;
251 21 mihad
wire [31:0] pcim_if_data_out ;
252 2 mihad
wire [3:0]  pcim_if_be_out ;
253
wire        pcim_if_req_out ;
254
wire        pcim_if_rdy_out ;
255
wire        pcim_if_last_out ;
256
wire        pcim_if_wbw_renable_out ;
257
wire        pcim_if_wbr_wenable_out ;
258
wire [31:0] pcim_if_wbr_data_out ;
259
wire [3:0]  pcim_if_wbr_be_out ;
260
wire [3:0]  pcim_if_wbr_control_out ;
261
wire        pcim_if_del_complete_out ;
262
wire        pcim_if_del_error_out ;
263
wire        pcim_if_del_rty_exp_out ;
264
wire [31:0] pcim_if_err_addr_out ;
265
wire [3:0]  pcim_if_err_bc_out ;
266
wire        pcim_if_err_signal_out ;
267
wire        pcim_if_err_source_out ;
268
wire        pcim_if_err_rty_exp_out ;
269
wire        pcim_if_tabort_out ;
270
wire        pcim_if_mabort_out ;
271
wire [31:0] pcim_if_next_data_out ;
272
wire [3:0]  pcim_if_next_be_out ;
273
wire        pcim_if_next_last_out ;
274 21 mihad
wire        pcim_if_posted_write_not_present_out ;
275 2 mihad
 
276
 
277
 
278
wire        pcim_sm_req_out ;
279
wire        pcim_sm_frame_out ;
280
wire        pcim_sm_frame_en_out ;
281
wire        pcim_sm_irdy_out ;
282
wire        pcim_sm_irdy_en_out ;
283
wire [31:0] pcim_sm_ad_out ;
284
wire        pcim_sm_ad_en_out ;
285
wire [3:0]  pcim_sm_cbe_out ;
286
wire        pcim_sm_cbe_en_out ;
287 21 mihad
wire        pcim_sm_ad_load_out ;
288
wire        pcim_sm_ad_load_on_transfer_out ;
289 2 mihad
 
290
wire        pcim_sm_wait_out ;
291
wire        pcim_sm_wtransfer_out ;
292
wire        pcim_sm_rtransfer_out ;
293
wire        pcim_sm_retry_out ;
294
wire        pcim_sm_rerror_out ;
295
wire        pcim_sm_first_out ;
296
wire        pcim_sm_mabort_out ;
297
wire        pcim_sm_frame_load_out ;
298
 
299
assign wbu_pciif_frame_load_out = pcim_sm_frame_load_out ;
300
 
301
assign wbu_err_addr_out     =   pcim_if_err_addr_out ;
302
assign wbu_err_bc_out       =   pcim_if_err_bc_out ;
303 21 mihad
assign wbu_err_signal_out   =   pcim_if_err_signal_out ;
304
assign wbu_err_source_out   =   pcim_if_err_source_out ;
305 2 mihad
assign wbu_err_rty_exp_out  =   pcim_if_err_rty_exp_out ;
306 21 mihad
assign wbu_tabort_rec_out   =   pcim_if_tabort_out ;
307
assign wbu_mabort_rec_out   =   pcim_if_mabort_out ;
308 2 mihad
 
309 21 mihad
assign wbu_wbw_fifo_empty_out = pcim_if_posted_write_not_present_out ;
310
 
311 2 mihad
// pci master state machine outputs
312
// pci interface signals
313 21 mihad
assign  wbu_pciif_req_out           =           pcim_sm_req_out ;
314
assign  wbu_pciif_frame_out         =           pcim_sm_frame_out ;
315
assign  wbu_pciif_frame_en_out      =           pcim_sm_frame_en_out ;
316
assign  wbu_pciif_irdy_out          =           pcim_sm_irdy_out ;
317
assign  wbu_pciif_irdy_en_out       =           pcim_sm_irdy_en_out ;
318
assign  wbu_pciif_ad_out            =           pcim_sm_ad_out ;
319
assign  wbu_pciif_ad_en_out         =           pcim_sm_ad_en_out ;
320
assign  wbu_pciif_cbe_out           =           pcim_sm_cbe_out ;
321
assign  wbu_pciif_cbe_en_out        =           pcim_sm_cbe_en_out ;
322
assign  wbu_ad_load_out             =           pcim_sm_ad_load_out ;
323
assign  wbu_ad_load_on_transfer_out =           pcim_sm_ad_load_on_transfer_out ;
324 2 mihad
 
325
// signals to internal of the core
326
wire [31:0] pcim_sm_data_out ;
327
 
328
// wishbone slave state machine outputs
329
wire [3:0]  wbs_sm_del_bc_out ;
330
wire        wbs_sm_del_req_out ;
331
wire        wbs_sm_del_done_out ;
332
wire        wbs_sm_del_burst_out ;
333
wire        wbs_sm_del_write_out ;
334
wire [11:0] wbs_sm_conf_offset_out ;
335
wire        wbs_sm_conf_renable_out ;
336
wire        wbs_sm_conf_wenable_out ;
337
wire [3:0]  wbs_sm_conf_be_out ;
338
wire [31:0] wbs_sm_conf_data_out ;
339
wire [31:0] wbs_sm_data_out ;
340
wire [3:0]  wbs_sm_cbe_out ;
341
wire        wbs_sm_wbw_wenable_out ;
342
wire [3:0]  wbs_sm_wbw_control_out ;
343
wire        wbs_sm_wbr_renable_out ;
344
wire        wbs_sm_wbr_flush_out ;
345
wire        wbs_sm_del_in_progress_out ;
346
wire [31:0] wbs_sm_sdata_out ;
347
wire        wbs_sm_ack_out ;
348
wire        wbs_sm_rty_out ;
349
wire        wbs_sm_err_out ;
350 21 mihad
wire        wbs_sm_sample_address_out ;
351 2 mihad
 
352
assign wbu_conf_offset_out  = wbs_sm_conf_offset_out ;
353
assign wbu_conf_renable_out = wbs_sm_conf_renable_out ;
354
assign wbu_conf_wenable_out = wbs_sm_conf_wenable_out ;
355
assign wbu_conf_be_out      = ~wbs_sm_conf_be_out ;
356
assign wbu_conf_data_out    = wbs_sm_conf_data_out ;
357
 
358
assign SDATA_O = wbs_sm_sdata_out ;
359
assign ACK_O   = wbs_sm_ack_out ;
360
assign RTY_O   = wbs_sm_rty_out ;
361
assign ERR_O   = wbs_sm_err_out ;
362
 
363
 
364
// wbw_wbr fifo outputs
365
 
366
// wbw_fifo_outputs:
367
wire [31:0] fifos_wbw_addr_data_out ;
368
wire [3:0]  fifos_wbw_cbe_out ;
369
wire [3:0]  fifos_wbw_control_out ;
370
wire        fifos_wbw_almost_full_out ;
371
wire        fifos_wbw_full_out ;
372
wire        fifos_wbw_empty_out ;
373
wire        fifos_wbw_transaction_ready_out ;
374
 
375
// wbr_fifo_outputs
376
wire [31:0] fifos_wbr_data_out ;
377
wire [3:0]  fifos_wbr_be_out ;
378
wire [3:0]  fifos_wbr_control_out ;
379
wire        fifos_wbr_empty_out ;
380
 
381
// address multiplexer outputs
382
wire [5:0]  amux_hit_out ;
383
wire [31:0] amux_address_out ;
384
 
385
// delayed transaction logic outputs
386
wire [31:0] del_sync_addr_out ;
387
wire [3:0]  del_sync_be_out ;
388
wire        del_sync_we_out ;
389
wire        del_sync_comp_req_pending_out ;
390
wire        del_sync_comp_comp_pending_out ;
391
wire        del_sync_req_req_pending_out ;
392
wire        del_sync_req_comp_pending_out ;
393
wire [3:0]  del_sync_bc_out ;
394
wire        del_sync_status_out ;
395
wire        del_sync_comp_flush_out ;
396
wire        del_sync_burst_out ;
397
 
398
assign wbu_del_read_comp_pending_out = del_sync_comp_comp_pending_out ;
399
 
400 21 mihad
// delayed write storage output
401 2 mihad
wire [31:0] del_write_data_out ;
402
 
403
// config. cycle address decoder output
404
wire [31:0] ccyc_addr_out ;
405
 
406
 
407 21 mihad
// WISHBONE slave interface inputs
408 2 mihad
wire [4:0]  wbs_sm_hit_in                   =       amux_hit_out[5:1] ;
409
wire        wbs_sm_conf_hit_in              =       amux_hit_out[0]   ;
410
wire [4:0]  wbs_sm_map_in                   =       wbu_map_in[5:1]        ;
411
wire [4:0]  wbs_sm_pref_en_in               =       wbu_pref_en_in[5:1]    ;
412
wire [4:0]  wbs_sm_mrl_en_in                =       wbu_mrl_en_in[5:1]     ;
413
wire [31:0] wbs_sm_addr_in                  =       amux_address_out ;
414
wire [3:0]  wbs_sm_del_bc_in                =       del_sync_bc_out  ;
415
wire        wbs_sm_del_req_pending_in       =       del_sync_req_req_pending_out ;
416
wire        wbs_sm_wb_del_comp_pending_in   =       del_sync_req_comp_pending_out ;
417
wire        wbs_sm_pci_drcomp_pending_in    =       wbu_pci_drcomp_pending_in ;
418
wire        wbs_sm_del_write_in             =       del_sync_we_out ;
419
wire        wbs_sm_del_error_in             =       del_sync_status_out ;
420
wire [31:0] wbs_sm_del_addr_in              =       del_sync_addr_out ;
421
wire [3:0]  wbs_sm_del_be_in                =       del_sync_be_out ;
422
wire [31:0] wbs_sm_conf_data_in             =       wbu_conf_data_in ;
423
wire        wbs_sm_wbw_almost_full_in       =       fifos_wbw_almost_full_out ;
424
wire        wbs_sm_wbw_full_in              =       fifos_wbw_full_out ;
425
wire [3:0]  wbs_sm_wbr_be_in                =       fifos_wbr_be_out ;
426
wire [31:0] wbs_sm_wbr_data_in              =       fifos_wbr_data_out ;
427
wire [3:0]  wbs_sm_wbr_control_in           =       fifos_wbr_control_out ;
428
wire        wbs_sm_wbr_empty_in             =       fifos_wbr_empty_out ;
429
wire        wbs_sm_pciw_empty_in            =       wbu_pciw_empty_in ;
430 21 mihad
wire        wbs_sm_lock_in                  =       ~wbu_master_enable_in ;
431
wire            wbs_sm_cache_line_size_not_zero =               wbu_cache_line_size_not_zero ;
432 2 mihad
wire        wbs_sm_cyc_in                   =       CYC_I ;
433
wire        wbs_sm_stb_in                   =       STB_I ;
434
wire        wbs_sm_we_in                    =       WE_I  ;
435
wire [3:0]  wbs_sm_sel_in                   =       SEL_I ;
436
wire [31:0] wbs_sm_sdata_in                 =       SDATA_I ;
437
wire        wbs_sm_cab_in                   =       CAB_I ;
438
wire [31:0] wbs_sm_ccyc_addr_in             =       ccyc_addr_out ;
439
 
440
// WISHBONE slave interface instantiation
441
WB_SLAVE wishbone_slave(
442
                        .wb_clock_in              (wb_clock_in) ,
443
                        .reset_in                 (reset_in) ,
444
                        .wb_hit_in                (wbs_sm_hit_in) ,
445
                        .wb_conf_hit_in           (wbs_sm_conf_hit_in) ,
446
                        .wb_map_in                (wbs_sm_map_in) ,
447
                        .wb_pref_en_in            (wbs_sm_pref_en_in) ,
448
                        .wb_mrl_en_in             (wbs_sm_mrl_en_in) ,
449
                        .wb_addr_in               (wbs_sm_addr_in),
450
                        .del_bc_in                (wbs_sm_del_bc_in),
451
                        .wb_del_req_pending_in    (wbs_sm_del_req_pending_in),
452
                        .wb_del_comp_pending_in   (wbs_sm_wb_del_comp_pending_in),
453
                        .pci_drcomp_pending_in    (wbs_sm_pci_drcomp_pending_in),
454
                        .del_bc_out               (wbs_sm_del_bc_out),
455
                        .del_req_out              (wbs_sm_del_req_out),
456
                        .del_done_out             (wbs_sm_del_done_out),
457
                        .del_burst_out            (wbs_sm_del_burst_out),
458
                        .del_write_out            (wbs_sm_del_write_out),
459
                        .del_write_in             (wbs_sm_del_write_in),
460
                        .del_error_in             (wbs_sm_del_error_in),
461
                        .wb_del_addr_in           (wbs_sm_del_addr_in),
462
                        .wb_del_be_in             (wbs_sm_del_be_in),
463
                        .wb_conf_offset_out       (wbs_sm_conf_offset_out),
464
                        .wb_conf_renable_out      (wbs_sm_conf_renable_out),
465
                        .wb_conf_wenable_out      (wbs_sm_conf_wenable_out),
466
                        .wb_conf_be_out           (wbs_sm_conf_be_out),
467
                        .wb_conf_data_in          (wbs_sm_conf_data_in),
468
                        .wb_conf_data_out         (wbs_sm_conf_data_out),
469
                        .wb_data_out              (wbs_sm_data_out),
470
                        .wb_cbe_out               (wbs_sm_cbe_out),
471
                        .wbw_fifo_wenable_out     (wbs_sm_wbw_wenable_out),
472
                        .wbw_fifo_control_out     (wbs_sm_wbw_control_out),
473
                        .wbw_fifo_almost_full_in  (wbs_sm_wbw_almost_full_in),
474
                        .wbw_fifo_full_in         (wbs_sm_wbw_full_in),
475
                        .wbr_fifo_renable_out     (wbs_sm_wbr_renable_out),
476
                        .wbr_fifo_be_in           (wbs_sm_wbr_be_in),
477
                        .wbr_fifo_data_in         (wbs_sm_wbr_data_in),
478
                        .wbr_fifo_control_in      (wbs_sm_wbr_control_in),
479
                        .wbr_fifo_flush_out       (wbs_sm_wbr_flush_out),
480
                        .wbr_fifo_empty_in        (wbs_sm_wbr_empty_in),
481
                        .pciw_fifo_empty_in       (wbs_sm_pciw_empty_in),
482
                        .wbs_lock_in              (wbs_sm_lock_in),
483 21 mihad
                        .cache_line_size_not_zero (wbs_sm_cache_line_size_not_zero),
484 2 mihad
                        .del_in_progress_out      (wbs_sm_del_in_progress_out),
485
                        .ccyc_addr_in             (wbs_sm_ccyc_addr_in),
486 21 mihad
                        .sample_address_out       (wbs_sm_sample_address_out),
487 2 mihad
                        .CYC_I                    (wbs_sm_cyc_in),
488
                        .STB_I                    (wbs_sm_stb_in),
489
                        .WE_I                     (wbs_sm_we_in),
490
                        .SEL_I                    (wbs_sm_sel_in),
491
                        .SDATA_I                  (wbs_sm_sdata_in),
492
                        .SDATA_O                  (wbs_sm_sdata_out),
493
                        .ACK_O                    (wbs_sm_ack_out),
494
                        .RTY_O                    (wbs_sm_rty_out),
495
                        .ERR_O                    (wbs_sm_err_out),
496
                        .CAB_I                    (wbs_sm_cab_in)
497
                       );
498
 
499
// wbw_wbr_fifos inputs
500
// WBW_FIFO inputs
501
wire        fifos_wbw_wenable_in        =       wbs_sm_wbw_wenable_out;
502
wire [31:0] fifos_wbw_addr_data_in      =       wbs_sm_data_out ;
503
wire [3:0]  fifos_wbw_cbe_in            =       wbs_sm_cbe_out ;
504
wire [3:0]  fifos_wbw_control_in        =       wbs_sm_wbw_control_out ;
505
wire        fifos_wbw_renable_in        =       pcim_if_wbw_renable_out ;
506
wire        fifos_wbw_flush_in          =       1'b0 ;
507
 
508
// WBR_FIFO inputs
509
wire        fifos_wbr_wenable_in        =       pcim_if_wbr_wenable_out ;
510
wire [31:0] fifos_wbr_data_in           =       pcim_if_wbr_data_out ;
511
wire [3:0]  fifos_wbr_be_in             =       pcim_if_wbr_be_out ;
512
wire [3:0]  fifos_wbr_control_in        =       pcim_if_wbr_control_out ;
513
wire        fifos_wbr_renable_in        =       wbs_sm_wbr_renable_out ;
514
wire        fifos_wbr_flush_in          =       wbs_sm_wbr_flush_out || del_sync_comp_flush_out ;
515
 
516
// WBW_FIFO and WBR_FIFO instantiation
517
WBW_WBR_FIFOS fifos(
518
                    .wb_clock_in               (wb_clock_in),
519
                    .pci_clock_in              (pci_clock_in),
520
                    .reset_in                  (reset_in),
521
                    .wbw_wenable_in            (fifos_wbw_wenable_in),
522
                    .wbw_addr_data_in          (fifos_wbw_addr_data_in),
523
                    .wbw_cbe_in                (fifos_wbw_cbe_in),
524
                    .wbw_control_in            (fifos_wbw_control_in),
525
                    .wbw_renable_in            (fifos_wbw_renable_in),
526
                    .wbw_addr_data_out         (fifos_wbw_addr_data_out),
527
                    .wbw_cbe_out               (fifos_wbw_cbe_out),
528 21 mihad
                    .wbw_control_out           (fifos_wbw_control_out),
529 2 mihad
                    .wbw_flush_in              (fifos_wbw_flush_in),
530
                    .wbw_almost_full_out       (fifos_wbw_almost_full_out),
531
                    .wbw_full_out              (fifos_wbw_full_out),
532
                    .wbw_empty_out             (fifos_wbw_empty_out),
533
                    .wbw_transaction_ready_out (fifos_wbw_transaction_ready_out),
534
                    .wbr_wenable_in            (fifos_wbr_wenable_in),
535 21 mihad
                    .wbr_data_in               (fifos_wbr_data_in),
536
                    .wbr_be_in                 (fifos_wbr_be_in),
537
                    .wbr_control_in            (fifos_wbr_control_in),
538
                    .wbr_renable_in            (fifos_wbr_renable_in),
539 2 mihad
                    .wbr_data_out              (fifos_wbr_data_out),
540
                    .wbr_be_out                (fifos_wbr_be_out),
541
                    .wbr_control_out           (fifos_wbr_control_out),
542
                    .wbr_flush_in              (fifos_wbr_flush_in),
543
                    .wbr_empty_out             (fifos_wbr_empty_out)
544
                   ) ;
545
 
546
wire [31:0] amux_addr_in  = ADDR_I ;
547 21 mihad
wire        amux_sample_address_in = wbs_sm_sample_address_out ;
548
 
549 2 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar0_in   =   wbu_bar0_in ;
550 21 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar1_in   =   wbu_bar1_in ;
551
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar2_in   =   wbu_bar2_in ;
552
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar3_in   =   wbu_bar3_in ;
553
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar4_in   =   wbu_bar4_in ;
554
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar5_in   =   wbu_bar5_in ;
555
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am0_in    =   wbu_am0_in ;
556
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am1_in    =   wbu_am1_in ;
557
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am2_in    =   wbu_am2_in ;
558
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am3_in    =   wbu_am3_in ;
559
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am4_in    =   wbu_am4_in ;
560
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am5_in    =   wbu_am5_in ;
561
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta0_in    =   wbu_ta0_in ;
562
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta1_in    =   wbu_ta1_in ;
563
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta2_in    =   wbu_ta2_in ;
564
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta3_in    =   wbu_ta3_in ;
565
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta4_in    =   wbu_ta4_in ;
566
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta5_in    =   wbu_ta5_in ;
567
wire [5:0]  amux_at_en_in = wbu_at_en_in ;
568 2 mihad
 
569
WB_ADDR_MUX wb_addr_dec
570
(
571 21 mihad
    `ifdef REGISTER_WBS_OUTPUTS
572
    .clk_in      (wb_clock_in),
573
    .reset_in    (reset_in),
574
    .sample_address_in (amux_sample_address_in),
575
    `endif
576 2 mihad
    .address_in  (amux_addr_in),
577
    .bar0_in     (amux_bar0_in),
578
    .bar1_in     (amux_bar1_in),
579
    .bar2_in     (amux_bar2_in),
580
    .bar3_in     (amux_bar3_in),
581
    .bar4_in     (amux_bar4_in),
582
    .bar5_in     (amux_bar5_in),
583
    .am0_in      (amux_am0_in),
584
    .am1_in      (amux_am1_in),
585
    .am2_in      (amux_am2_in),
586
    .am3_in      (amux_am3_in),
587
    .am4_in      (amux_am4_in),
588
    .am5_in      (amux_am5_in),
589
    .ta0_in      (amux_ta0_in),
590
    .ta1_in      (amux_ta1_in),
591
    .ta2_in      (amux_ta2_in),
592
    .ta3_in      (amux_ta3_in),
593
    .ta4_in      (amux_ta4_in),
594
    .ta5_in      (amux_ta5_in),
595
    .at_en_in    (amux_at_en_in),
596
    .hit_out     (amux_hit_out),
597
    .address_out (amux_address_out)
598
);
599
 
600
// delayed transaction logic inputs
601 21 mihad
wire        del_sync_req_in             =       wbs_sm_del_req_out ;
602 2 mihad
wire        del_sync_comp_in            =       pcim_if_del_complete_out ;
603
wire        del_sync_done_in            =       wbs_sm_del_done_out ;
604
wire        del_sync_in_progress_in     =       wbs_sm_del_in_progress_out ;
605
wire [31:0] del_sync_addr_in            =       wbs_sm_data_out ;
606
wire [3:0]  del_sync_be_in              =       wbs_sm_conf_be_out ;
607
wire        del_sync_we_in              =       wbs_sm_del_write_out ;
608
wire [3:0]  del_sync_bc_in              =       wbs_sm_del_bc_out ;
609
wire        del_sync_status_in          =       pcim_if_del_error_out ;
610
wire        del_sync_burst_in           =       wbs_sm_del_burst_out ;
611
wire        del_sync_retry_expired_in   =       pcim_if_del_rty_exp_out ;
612
 
613
// delayed transaction logic instantiation
614
DELAYED_SYNC del_sync  (
615
                            .reset_in             (reset_in),
616
                            .req_clk_in           (wb_clock_in),
617
                            .comp_clk_in          (pci_clock_in),
618
                            .req_in               (del_sync_req_in),
619
                            .comp_in              (del_sync_comp_in),
620
                            .done_in              (del_sync_done_in),
621
                            .in_progress_in       (del_sync_in_progress_in),
622
                            .comp_req_pending_out (del_sync_comp_req_pending_out),
623
                            .comp_comp_pending_out(del_sync_comp_comp_pending_out),
624
                            .req_req_pending_out  (del_sync_req_req_pending_out),
625
                            .req_comp_pending_out (del_sync_req_comp_pending_out),
626
                            .addr_in              (del_sync_addr_in),
627
                            .be_in                (del_sync_be_in),
628
                            .addr_out             (del_sync_addr_out),
629
                            .be_out               (del_sync_be_out),
630
                            .we_in                (del_sync_we_in),
631
                            .we_out               (del_sync_we_out),
632
                            .bc_in                (del_sync_bc_in),
633
                            .bc_out               (del_sync_bc_out),
634
                            .status_in            (del_sync_status_in),
635
                            .status_out           (del_sync_status_out),
636
                            .comp_flush_out       (del_sync_comp_flush_out),
637
                            .burst_in             (del_sync_burst_in),
638
                            .burst_out            (del_sync_burst_out),
639
                            .retry_expired_in     (del_sync_retry_expired_in)
640
                        );
641
 
642
// delayed write storage inputs
643
wire        del_write_we_in         =       wbs_sm_del_req_out && wbs_sm_del_write_out ;
644
wire [31:0] del_write_data_in       =       wbs_sm_conf_data_out ;
645
 
646
DELAYED_WRITE_REG delayed_write_data
647
(
648
        .reset_in       (reset_in),
649
        .req_clk_in     (wb_clock_in),
650
        .comp_wdata_out (del_write_data_out),
651
        .req_we_in      (del_write_we_in),
652
        .req_wdata_in   (del_write_data_in)
653
);
654
 
655
`ifdef HOST
656
    // configuration cycle address decoder input
657
    wire    [31:0]      ccyc_addr_in = {8'h00, wbu_ccyc_addr_in} ;
658
 
659
    CONF_CYC_ADDR_DEC ccyc_addr_dec
660
    (
661
        .ccyc_addr_in   (ccyc_addr_in),
662
        .ccyc_addr_out  (ccyc_addr_out)
663
    ) ;
664
`else
665
`ifdef GUEST
666
    assign ccyc_addr_out = 32'h0000_0000 ;
667
`endif
668
`endif
669
 
670
// pci master interface inputs
671
wire [31:0] pcim_if_wbw_addr_data_in            =           fifos_wbw_addr_data_out ;
672
wire [3:0]  pcim_if_wbw_cbe_in                  =           fifos_wbw_cbe_out ;
673
wire [3:0]  pcim_if_wbw_control_in              =           fifos_wbw_control_out ;
674
wire        pcim_if_wbw_empty_in                =           fifos_wbw_empty_out ;
675
wire        pcim_if_wbw_transaction_ready_in    =           fifos_wbw_transaction_ready_out ;
676 21 mihad
wire [31:0] pcim_if_data_in                     =           pcim_sm_data_out ;
677 2 mihad
wire [31:0] pcim_if_del_wdata_in                =           del_write_data_out ;
678
wire        pcim_if_del_req_in                  =           del_sync_comp_req_pending_out ;
679
wire [31:0] pcim_if_del_addr_in                 =           del_sync_addr_out ;
680
wire [3:0]  pcim_if_del_bc_in                   =           del_sync_bc_out ;
681
wire [3:0]  pcim_if_del_be_in                   =           del_sync_be_out ;
682
wire        pcim_if_del_burst_in                =           del_sync_burst_out ;
683
wire        pcim_if_del_we_in                   =           del_sync_we_out ;
684
wire [7:0]  pcim_if_cache_line_size_in          =           wbu_cache_line_size_in ;
685
wire        pcim_if_wait_in                     =           pcim_sm_wait_out ;
686
wire        pcim_if_wtransfer_in                =           pcim_sm_wtransfer_out ;
687
wire        pcim_if_rtransfer_in                =           pcim_sm_rtransfer_out ;
688
wire        pcim_if_retry_in                    =           pcim_sm_retry_out ;
689
wire        pcim_if_rerror_in                   =           pcim_sm_rerror_out ;
690
wire        pcim_if_first_in                    =           pcim_sm_first_out ;
691
wire        pcim_if_mabort_in                   =           pcim_sm_mabort_out ;
692
 
693
PCI_MASTER32_SM_IF pci_initiator_if
694
(
695
    .clk_in                        (pci_clock_in),
696
    .reset_in                      (reset_in),
697
    .address_out                   (pcim_if_address_out),
698
    .bc_out                        (pcim_if_bc_out),
699
    .data_out                      (pcim_if_data_out),
700
    .data_in                       (pcim_if_data_in),
701
    .be_out                        (pcim_if_be_out),
702
    .req_out                       (pcim_if_req_out),
703
    .rdy_out                       (pcim_if_rdy_out),
704
    .last_out                      (pcim_if_last_out),
705
    .wbw_renable_out               (pcim_if_wbw_renable_out),
706
    .wbw_fifo_addr_data_in         (pcim_if_wbw_addr_data_in),
707
    .wbw_fifo_cbe_in               (pcim_if_wbw_cbe_in),
708
    .wbw_fifo_control_in           (pcim_if_wbw_control_in),
709
    .wbw_fifo_empty_in             (pcim_if_wbw_empty_in),
710
    .wbw_fifo_transaction_ready_in (pcim_if_wbw_transaction_ready_in),
711
    .wbr_fifo_wenable_out          (pcim_if_wbr_wenable_out),
712
    .wbr_fifo_data_out             (pcim_if_wbr_data_out),
713
    .wbr_fifo_be_out               (pcim_if_wbr_be_out),
714
    .wbr_fifo_control_out          (pcim_if_wbr_control_out),
715
    .del_wdata_in                  (pcim_if_del_wdata_in),
716
    .del_complete_out              (pcim_if_del_complete_out),
717
    .del_req_in                    (pcim_if_del_req_in),
718
    .del_addr_in                   (pcim_if_del_addr_in),
719
    .del_bc_in                     (pcim_if_del_bc_in),
720
    .del_be_in                     (pcim_if_del_be_in),
721
    .del_burst_in                  (pcim_if_del_burst_in),
722
    .del_error_out                 (pcim_if_del_error_out),
723
    .del_rty_exp_out               (pcim_if_del_rty_exp_out),
724
    .del_we_in                     (pcim_if_del_we_in),
725
    .err_addr_out                  (pcim_if_err_addr_out),
726
    .err_bc_out                    (pcim_if_err_bc_out),
727
    .err_signal_out                (pcim_if_err_signal_out),
728
    .err_source_out                (pcim_if_err_source_out),
729
    .err_rty_exp_out               (pcim_if_err_rty_exp_out),
730
    .cache_line_size_in            (pcim_if_cache_line_size_in),
731 21 mihad
    .mabort_received_out           (pcim_if_mabort_out),
732
    .tabort_received_out           (pcim_if_tabort_out),
733 2 mihad
    .next_data_out                 (pcim_if_next_data_out),
734
    .next_be_out                   (pcim_if_next_be_out),
735
    .next_last_out                 (pcim_if_next_last_out),
736
    .wait_in                       (pcim_if_wait_in),
737
    .wtransfer_in                  (pcim_if_wtransfer_in),
738
    .rtransfer_in                  (pcim_if_rtransfer_in),
739
    .retry_in                      (pcim_if_retry_in),
740
    .rerror_in                     (pcim_if_rerror_in),
741
    .first_in                      (pcim_if_first_in),
742 21 mihad
    .mabort_in                     (pcim_if_mabort_in),
743
    .posted_write_not_present_out  (pcim_if_posted_write_not_present_out)
744 2 mihad
);
745
 
746
// pci master state machine inputs
747
wire        pcim_sm_gnt_in                  =       wbu_pciif_gnt_in ;
748
wire        pcim_sm_frame_in                =       wbu_pciif_frame_in ;
749
wire        pcim_sm_irdy_in                 =       wbu_pciif_irdy_in ;
750
wire        pcim_sm_trdy_in                 =       wbu_pciif_trdy_in;
751
wire        pcim_sm_stop_in                 =       wbu_pciif_stop_in ;
752
wire        pcim_sm_devsel_in               =       wbu_pciif_devsel_in ;
753
wire [31:0] pcim_sm_ad_reg_in               =       wbu_pciif_ad_reg_in ;
754
wire [31:0] pcim_sm_address_in              =       pcim_if_address_out ;
755
wire [3:0]  pcim_sm_bc_in                   =       pcim_if_bc_out ;
756
wire [31:0] pcim_sm_data_in                 =       pcim_if_data_out ;
757
wire [3:0]  pcim_sm_be_in                   =       pcim_if_be_out ;
758
wire        pcim_sm_req_in                  =       pcim_if_req_out ;
759
wire        pcim_sm_rdy_in                  =       pcim_if_rdy_out ;
760
wire        pcim_sm_last_in                 =       pcim_if_last_out ;
761
wire [7:0]  pcim_sm_latency_tim_val_in      =       wbu_latency_tim_val_in ;
762
wire [31:0] pcim_sm_next_data_in            =       pcim_if_next_data_out ;
763
wire [3:0]  pcim_sm_next_be_in              =       pcim_if_next_be_out ;
764
wire        pcim_sm_next_last_in            =       pcim_if_next_last_out ;
765 21 mihad
wire        pcim_sm_trdy_reg_in             =       wbu_pciif_trdy_reg_in ;
766
wire        pcim_sm_stop_reg_in             =       wbu_pciif_stop_reg_in ;
767 2 mihad
wire        pcim_sm_devsel_reg_in           =       wbu_pciif_devsel_reg_in ;
768
wire        pcim_sm_frame_en_in             =       wbu_pciif_frame_en_in ;
769
wire        pcim_sm_frame_out_in            =       wbu_pciif_frame_out_in ;
770
 
771
PCI_MASTER32_SM pci_initiator_sm
772
(
773 21 mihad
    .clk_in                     (pci_clock_in),
774
    .reset_in                   (reset_in),
775
    .pci_req_out                (pcim_sm_req_out),
776
    .pci_gnt_in                 (pcim_sm_gnt_in),
777
    .pci_frame_in               (pcim_sm_frame_in),
778
    .pci_frame_out              (pcim_sm_frame_out),
779
    .pci_frame_en_out           (pcim_sm_frame_en_out),
780
    .pci_frame_out_in           (pcim_sm_frame_out_in),
781
    .pci_frame_load_out         (pcim_sm_frame_load_out),
782
    .pci_frame_en_in            (pcim_sm_frame_en_in),
783
    .pci_irdy_in                (pcim_sm_irdy_in),
784
    .pci_irdy_out               (pcim_sm_irdy_out),
785
    .pci_irdy_en_out            (pcim_sm_irdy_en_out),
786
    .pci_trdy_in                (pcim_sm_trdy_in),
787
    .pci_trdy_reg_in            (pcim_sm_trdy_reg_in),
788
    .pci_stop_in                (pcim_sm_stop_in),
789
    .pci_stop_reg_in            (pcim_sm_stop_reg_in),
790
    .pci_devsel_in              (pcim_sm_devsel_in),
791
    .pci_devsel_reg_in          (pcim_sm_devsel_reg_in),
792
    .pci_ad_reg_in              (pcim_sm_ad_reg_in),
793
    .pci_ad_out                 (pcim_sm_ad_out),
794
    .pci_ad_en_out              (pcim_sm_ad_en_out),
795
    .pci_cbe_out                (pcim_sm_cbe_out),
796
    .pci_cbe_en_out             (pcim_sm_cbe_en_out),
797
    .address_in                 (pcim_sm_address_in),
798
    .bc_in                      (pcim_sm_bc_in),
799
    .data_in                    (pcim_sm_data_in),
800
    .data_out                   (pcim_sm_data_out),
801
    .be_in                      (pcim_sm_be_in),
802
    .req_in                     (pcim_sm_req_in),
803
    .rdy_in                     (pcim_sm_rdy_in),
804
    .last_in                    (pcim_sm_last_in),
805
    .latency_tim_val_in         (pcim_sm_latency_tim_val_in),
806
    .next_data_in               (pcim_sm_next_data_in),
807
    .next_be_in                 (pcim_sm_next_be_in),
808
    .next_last_in               (pcim_sm_next_last_in),
809
    .ad_load_out                (pcim_sm_ad_load_out),
810
    .ad_load_on_transfer_out    (pcim_sm_ad_load_on_transfer_out),
811
    .wait_out                   (pcim_sm_wait_out),
812
    .wtransfer_out              (pcim_sm_wtransfer_out),
813
    .rtransfer_out              (pcim_sm_rtransfer_out),
814
    .retry_out                  (pcim_sm_retry_out),
815
    .rerror_out                 (pcim_sm_rerror_out),
816
    .first_out                  (pcim_sm_first_out),
817
    .mabort_out                 (pcim_sm_mabort_out)
818 2 mihad
) ;
819
 
820 21 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.