OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [wb_slave_unit.v] - Blame information for rev 58

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "wb_slave_unit.v"                                 ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 58 mihad
// Revision 1.3  2002/02/01 15:25:13  mihad
46
// Repaired a few bugs, updated specification, added test bench files and design document
47
//
48 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
49
// Updated all files with inclusion of timescale file for simulation purposes.
50
//
51 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
52
// New project directory structure
53 2 mihad
//
54 6 mihad
//
55 2 mihad
 
56
// Module instantiates and connects other modules lower in hierarcy
57
// Wishbone slave unit consists of modules that together form datapath
58
// between external WISHBONE masters and external PCI targets
59 21 mihad
`include "pci_constants.v"
60
 
61
// synopsys translate_off
62 6 mihad
`include "timescale.v"
63 21 mihad
// synopsys translate_on
64
 
65 2 mihad
module WB_SLAVE_UNIT
66
(
67
    reset_in,
68
    wb_clock_in,
69
    pci_clock_in,
70
    ADDR_I,
71
    SDATA_I,
72
    SDATA_O,
73
    CYC_I,
74
    STB_I,
75
    WE_I,
76
    SEL_I,
77
    ACK_O,
78
    RTY_O,
79
    ERR_O,
80
    CAB_I,
81
    wbu_map_in,
82
    wbu_pref_en_in,
83
    wbu_mrl_en_in,
84
    wbu_pci_drcomp_pending_in,
85 21 mihad
    wbu_conf_data_in,
86 2 mihad
    wbu_pciw_empty_in,
87
    wbu_bar0_in,
88 21 mihad
    wbu_bar1_in,
89
    wbu_bar2_in,
90
    wbu_bar3_in,
91
    wbu_bar4_in,
92
    wbu_bar5_in,
93 2 mihad
    wbu_am0_in,
94
    wbu_am1_in,
95
    wbu_am2_in,
96
    wbu_am3_in,
97
    wbu_am4_in,
98
    wbu_am5_in,
99
    wbu_ta0_in,
100
    wbu_ta1_in,
101
    wbu_ta2_in,
102
    wbu_ta3_in,
103
    wbu_ta4_in,
104
    wbu_ta5_in,
105
    wbu_at_en_in,
106
    wbu_ccyc_addr_in ,
107
    wbu_master_enable_in,
108 21 mihad
    wbu_cache_line_size_not_zero,
109 2 mihad
    wbu_cache_line_size_in,
110
    wbu_pciif_gnt_in,
111 21 mihad
    wbu_pciif_frame_in,
112
    wbu_pciif_irdy_in,
113
    wbu_pciif_trdy_in,
114
    wbu_pciif_trdy_reg_in,
115
    wbu_pciif_stop_in,
116
    wbu_pciif_stop_reg_in,
117 2 mihad
    wbu_pciif_devsel_in,
118
    wbu_pciif_devsel_reg_in,
119
    wbu_pciif_ad_reg_in,
120
    wbu_pciif_req_out,
121 21 mihad
    wbu_pciif_frame_out,
122 2 mihad
    wbu_pciif_frame_en_out,
123
    wbu_pciif_frame_en_in,
124
    wbu_pciif_frame_out_in,
125
    wbu_pciif_frame_load_out,
126 21 mihad
    wbu_pciif_irdy_out,
127 2 mihad
    wbu_pciif_irdy_en_out,
128 21 mihad
    wbu_pciif_ad_out,
129
    wbu_pciif_ad_en_out,
130
    wbu_pciif_cbe_out,
131 2 mihad
    wbu_pciif_cbe_en_out,
132 21 mihad
    wbu_err_addr_out,
133
    wbu_err_bc_out,
134
    wbu_err_signal_out,
135
    wbu_err_source_out,
136 2 mihad
    wbu_err_rty_exp_out,
137 21 mihad
    wbu_tabort_rec_out,
138 2 mihad
    wbu_mabort_rec_out,
139
    wbu_conf_offset_out,
140
    wbu_conf_renable_out,
141
    wbu_conf_wenable_out,
142 21 mihad
    wbu_conf_be_out,
143
    wbu_conf_data_out,
144 2 mihad
    wbu_del_read_comp_pending_out,
145
    wbu_wbw_fifo_empty_out,
146
    wbu_latency_tim_val_in,
147 21 mihad
    wbu_ad_load_out,
148
    wbu_ad_load_on_transfer_out
149 2 mihad
);
150
 
151
input reset_in,
152
      wb_clock_in,
153
      pci_clock_in ;
154
 
155
input   [31:0]  ADDR_I   ;
156
input   [31:0]  SDATA_I  ;
157
output  [31:0]  SDATA_O  ;
158
input           CYC_I    ;
159
input           STB_I    ;
160
input           WE_I     ;
161
input   [3:0]   SEL_I    ;
162
output          ACK_O    ;
163
output          RTY_O    ;
164
output          ERR_O    ;
165
input           CAB_I    ;
166
 
167
input   [5:0]   wbu_map_in ;
168
input   [5:0]   wbu_pref_en_in ;
169
input   [5:0]   wbu_mrl_en_in ;
170
 
171
input           wbu_pci_drcomp_pending_in ;
172
 
173
input   [31:0]  wbu_conf_data_in ;
174
 
175
input           wbu_pciw_empty_in ;
176
 
177 21 mihad
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in ;
178
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in ;
179
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in ;
180
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in ;
181
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in ;
182
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in ;
183
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in ;
184
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in ;
185
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in ;
186
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in ;
187
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in ;
188
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in ;
189
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in ;
190
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in ;
191
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in ;
192
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in ;
193
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in ;
194
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in ;
195 2 mihad
input   [5:0]                               wbu_at_en_in ;
196
 
197
input   [23:0]  wbu_ccyc_addr_in ;
198
 
199
input           wbu_master_enable_in ;
200
 
201 21 mihad
input                   wbu_cache_line_size_not_zero ;
202 2 mihad
input   [7:0]   wbu_cache_line_size_in ;
203
 
204 21 mihad
input           wbu_pciif_gnt_in ;
205
input           wbu_pciif_frame_in ;
206 2 mihad
input           wbu_pciif_frame_en_in ;
207 21 mihad
input           wbu_pciif_irdy_in ;
208
input           wbu_pciif_trdy_in;
209
input           wbu_pciif_trdy_reg_in;
210
input           wbu_pciif_stop_in ;
211
input           wbu_pciif_stop_reg_in ;
212 2 mihad
input           wbu_pciif_devsel_in ;
213
input           wbu_pciif_devsel_reg_in ;
214
input [31:0]    wbu_pciif_ad_reg_in ;
215
 
216
output          wbu_pciif_req_out ;
217
output          wbu_pciif_frame_out ;
218
output          wbu_pciif_frame_en_out ;
219
input           wbu_pciif_frame_out_in ;
220
output          wbu_pciif_frame_load_out ;
221
output          wbu_pciif_irdy_out ;
222
output          wbu_pciif_irdy_en_out ;
223
output  [31:0]  wbu_pciif_ad_out ;
224
output          wbu_pciif_ad_en_out ;
225
output  [3:0]   wbu_pciif_cbe_out ;
226
output          wbu_pciif_cbe_en_out ;
227
 
228
output  [31:0]  wbu_err_addr_out ;
229
output  [3:0]   wbu_err_bc_out ;
230
output          wbu_err_signal_out ;
231
output          wbu_err_source_out ;
232
output          wbu_err_rty_exp_out ;
233
output          wbu_tabort_rec_out ;
234
output          wbu_mabort_rec_out ;
235
 
236
output  [11:0]  wbu_conf_offset_out ;
237
output          wbu_conf_renable_out ;
238
output          wbu_conf_wenable_out ;
239
output  [3:0]   wbu_conf_be_out ;
240
output  [31:0]  wbu_conf_data_out ;
241
 
242
output          wbu_del_read_comp_pending_out ;
243
output          wbu_wbw_fifo_empty_out ;
244
 
245
input   [7:0]   wbu_latency_tim_val_in ;
246
 
247 21 mihad
output          wbu_ad_load_out ;
248
output          wbu_ad_load_on_transfer_out ;
249 2 mihad
 
250 21 mihad
 
251 2 mihad
// pci master interface outputs
252 21 mihad
wire [31:0] pcim_if_address_out ;
253 2 mihad
wire [3:0]  pcim_if_bc_out ;
254 21 mihad
wire [31:0] pcim_if_data_out ;
255 2 mihad
wire [3:0]  pcim_if_be_out ;
256
wire        pcim_if_req_out ;
257
wire        pcim_if_rdy_out ;
258
wire        pcim_if_last_out ;
259
wire        pcim_if_wbw_renable_out ;
260
wire        pcim_if_wbr_wenable_out ;
261
wire [31:0] pcim_if_wbr_data_out ;
262
wire [3:0]  pcim_if_wbr_be_out ;
263
wire [3:0]  pcim_if_wbr_control_out ;
264
wire        pcim_if_del_complete_out ;
265
wire        pcim_if_del_error_out ;
266
wire        pcim_if_del_rty_exp_out ;
267
wire [31:0] pcim_if_err_addr_out ;
268
wire [3:0]  pcim_if_err_bc_out ;
269
wire        pcim_if_err_signal_out ;
270
wire        pcim_if_err_source_out ;
271
wire        pcim_if_err_rty_exp_out ;
272
wire        pcim_if_tabort_out ;
273
wire        pcim_if_mabort_out ;
274
wire [31:0] pcim_if_next_data_out ;
275
wire [3:0]  pcim_if_next_be_out ;
276
wire        pcim_if_next_last_out ;
277 21 mihad
wire        pcim_if_posted_write_not_present_out ;
278 2 mihad
 
279
 
280
 
281
wire        pcim_sm_req_out ;
282
wire        pcim_sm_frame_out ;
283
wire        pcim_sm_frame_en_out ;
284
wire        pcim_sm_irdy_out ;
285
wire        pcim_sm_irdy_en_out ;
286
wire [31:0] pcim_sm_ad_out ;
287
wire        pcim_sm_ad_en_out ;
288
wire [3:0]  pcim_sm_cbe_out ;
289
wire        pcim_sm_cbe_en_out ;
290 21 mihad
wire        pcim_sm_ad_load_out ;
291
wire        pcim_sm_ad_load_on_transfer_out ;
292 2 mihad
 
293
wire        pcim_sm_wait_out ;
294
wire        pcim_sm_wtransfer_out ;
295
wire        pcim_sm_rtransfer_out ;
296
wire        pcim_sm_retry_out ;
297
wire        pcim_sm_rerror_out ;
298
wire        pcim_sm_first_out ;
299
wire        pcim_sm_mabort_out ;
300
wire        pcim_sm_frame_load_out ;
301
 
302
assign wbu_pciif_frame_load_out = pcim_sm_frame_load_out ;
303
 
304
assign wbu_err_addr_out     =   pcim_if_err_addr_out ;
305
assign wbu_err_bc_out       =   pcim_if_err_bc_out ;
306 21 mihad
assign wbu_err_signal_out   =   pcim_if_err_signal_out ;
307
assign wbu_err_source_out   =   pcim_if_err_source_out ;
308 2 mihad
assign wbu_err_rty_exp_out  =   pcim_if_err_rty_exp_out ;
309 21 mihad
assign wbu_tabort_rec_out   =   pcim_if_tabort_out ;
310
assign wbu_mabort_rec_out   =   pcim_if_mabort_out ;
311 2 mihad
 
312 21 mihad
assign wbu_wbw_fifo_empty_out = pcim_if_posted_write_not_present_out ;
313
 
314 2 mihad
// pci master state machine outputs
315
// pci interface signals
316 21 mihad
assign  wbu_pciif_req_out           =           pcim_sm_req_out ;
317
assign  wbu_pciif_frame_out         =           pcim_sm_frame_out ;
318
assign  wbu_pciif_frame_en_out      =           pcim_sm_frame_en_out ;
319
assign  wbu_pciif_irdy_out          =           pcim_sm_irdy_out ;
320
assign  wbu_pciif_irdy_en_out       =           pcim_sm_irdy_en_out ;
321
assign  wbu_pciif_ad_out            =           pcim_sm_ad_out ;
322
assign  wbu_pciif_ad_en_out         =           pcim_sm_ad_en_out ;
323
assign  wbu_pciif_cbe_out           =           pcim_sm_cbe_out ;
324
assign  wbu_pciif_cbe_en_out        =           pcim_sm_cbe_en_out ;
325
assign  wbu_ad_load_out             =           pcim_sm_ad_load_out ;
326
assign  wbu_ad_load_on_transfer_out =           pcim_sm_ad_load_on_transfer_out ;
327 2 mihad
 
328
// signals to internal of the core
329
wire [31:0] pcim_sm_data_out ;
330
 
331
// wishbone slave state machine outputs
332
wire [3:0]  wbs_sm_del_bc_out ;
333
wire        wbs_sm_del_req_out ;
334
wire        wbs_sm_del_done_out ;
335
wire        wbs_sm_del_burst_out ;
336
wire        wbs_sm_del_write_out ;
337
wire [11:0] wbs_sm_conf_offset_out ;
338
wire        wbs_sm_conf_renable_out ;
339
wire        wbs_sm_conf_wenable_out ;
340
wire [3:0]  wbs_sm_conf_be_out ;
341
wire [31:0] wbs_sm_conf_data_out ;
342
wire [31:0] wbs_sm_data_out ;
343
wire [3:0]  wbs_sm_cbe_out ;
344
wire        wbs_sm_wbw_wenable_out ;
345
wire [3:0]  wbs_sm_wbw_control_out ;
346
wire        wbs_sm_wbr_renable_out ;
347
wire        wbs_sm_wbr_flush_out ;
348
wire        wbs_sm_del_in_progress_out ;
349
wire [31:0] wbs_sm_sdata_out ;
350
wire        wbs_sm_ack_out ;
351
wire        wbs_sm_rty_out ;
352
wire        wbs_sm_err_out ;
353 21 mihad
wire        wbs_sm_sample_address_out ;
354 2 mihad
 
355
assign wbu_conf_offset_out  = wbs_sm_conf_offset_out ;
356
assign wbu_conf_renable_out = wbs_sm_conf_renable_out ;
357
assign wbu_conf_wenable_out = wbs_sm_conf_wenable_out ;
358
assign wbu_conf_be_out      = ~wbs_sm_conf_be_out ;
359
assign wbu_conf_data_out    = wbs_sm_conf_data_out ;
360
 
361
assign SDATA_O = wbs_sm_sdata_out ;
362
assign ACK_O   = wbs_sm_ack_out ;
363
assign RTY_O   = wbs_sm_rty_out ;
364
assign ERR_O   = wbs_sm_err_out ;
365
 
366
 
367
// wbw_wbr fifo outputs
368
 
369
// wbw_fifo_outputs:
370
wire [31:0] fifos_wbw_addr_data_out ;
371
wire [3:0]  fifos_wbw_cbe_out ;
372
wire [3:0]  fifos_wbw_control_out ;
373
wire        fifos_wbw_almost_full_out ;
374
wire        fifos_wbw_full_out ;
375
wire        fifos_wbw_empty_out ;
376
wire        fifos_wbw_transaction_ready_out ;
377
 
378
// wbr_fifo_outputs
379
wire [31:0] fifos_wbr_data_out ;
380
wire [3:0]  fifos_wbr_be_out ;
381
wire [3:0]  fifos_wbr_control_out ;
382
wire        fifos_wbr_empty_out ;
383
 
384
// address multiplexer outputs
385
wire [5:0]  amux_hit_out ;
386
wire [31:0] amux_address_out ;
387
 
388
// delayed transaction logic outputs
389
wire [31:0] del_sync_addr_out ;
390
wire [3:0]  del_sync_be_out ;
391
wire        del_sync_we_out ;
392
wire        del_sync_comp_req_pending_out ;
393
wire        del_sync_comp_comp_pending_out ;
394
wire        del_sync_req_req_pending_out ;
395
wire        del_sync_req_comp_pending_out ;
396
wire [3:0]  del_sync_bc_out ;
397
wire        del_sync_status_out ;
398
wire        del_sync_comp_flush_out ;
399
wire        del_sync_burst_out ;
400
 
401
assign wbu_del_read_comp_pending_out = del_sync_comp_comp_pending_out ;
402
 
403 21 mihad
// delayed write storage output
404 2 mihad
wire [31:0] del_write_data_out ;
405
 
406
// config. cycle address decoder output
407
wire [31:0] ccyc_addr_out ;
408
 
409
 
410 21 mihad
// WISHBONE slave interface inputs
411 2 mihad
wire [4:0]  wbs_sm_hit_in                   =       amux_hit_out[5:1] ;
412
wire        wbs_sm_conf_hit_in              =       amux_hit_out[0]   ;
413
wire [4:0]  wbs_sm_map_in                   =       wbu_map_in[5:1]        ;
414
wire [4:0]  wbs_sm_pref_en_in               =       wbu_pref_en_in[5:1]    ;
415
wire [4:0]  wbs_sm_mrl_en_in                =       wbu_mrl_en_in[5:1]     ;
416
wire [31:0] wbs_sm_addr_in                  =       amux_address_out ;
417
wire [3:0]  wbs_sm_del_bc_in                =       del_sync_bc_out  ;
418
wire        wbs_sm_del_req_pending_in       =       del_sync_req_req_pending_out ;
419
wire        wbs_sm_wb_del_comp_pending_in   =       del_sync_req_comp_pending_out ;
420
wire        wbs_sm_pci_drcomp_pending_in    =       wbu_pci_drcomp_pending_in ;
421
wire        wbs_sm_del_write_in             =       del_sync_we_out ;
422
wire        wbs_sm_del_error_in             =       del_sync_status_out ;
423
wire [31:0] wbs_sm_del_addr_in              =       del_sync_addr_out ;
424
wire [3:0]  wbs_sm_del_be_in                =       del_sync_be_out ;
425
wire [31:0] wbs_sm_conf_data_in             =       wbu_conf_data_in ;
426
wire        wbs_sm_wbw_almost_full_in       =       fifos_wbw_almost_full_out ;
427
wire        wbs_sm_wbw_full_in              =       fifos_wbw_full_out ;
428
wire [3:0]  wbs_sm_wbr_be_in                =       fifos_wbr_be_out ;
429
wire [31:0] wbs_sm_wbr_data_in              =       fifos_wbr_data_out ;
430
wire [3:0]  wbs_sm_wbr_control_in           =       fifos_wbr_control_out ;
431
wire        wbs_sm_wbr_empty_in             =       fifos_wbr_empty_out ;
432
wire        wbs_sm_pciw_empty_in            =       wbu_pciw_empty_in ;
433 21 mihad
wire        wbs_sm_lock_in                  =       ~wbu_master_enable_in ;
434
wire            wbs_sm_cache_line_size_not_zero =               wbu_cache_line_size_not_zero ;
435 2 mihad
wire        wbs_sm_cyc_in                   =       CYC_I ;
436
wire        wbs_sm_stb_in                   =       STB_I ;
437
wire        wbs_sm_we_in                    =       WE_I  ;
438
wire [3:0]  wbs_sm_sel_in                   =       SEL_I ;
439
wire [31:0] wbs_sm_sdata_in                 =       SDATA_I ;
440
wire        wbs_sm_cab_in                   =       CAB_I ;
441
wire [31:0] wbs_sm_ccyc_addr_in             =       ccyc_addr_out ;
442
 
443
// WISHBONE slave interface instantiation
444
WB_SLAVE wishbone_slave(
445
                        .wb_clock_in              (wb_clock_in) ,
446
                        .reset_in                 (reset_in) ,
447
                        .wb_hit_in                (wbs_sm_hit_in) ,
448
                        .wb_conf_hit_in           (wbs_sm_conf_hit_in) ,
449
                        .wb_map_in                (wbs_sm_map_in) ,
450
                        .wb_pref_en_in            (wbs_sm_pref_en_in) ,
451
                        .wb_mrl_en_in             (wbs_sm_mrl_en_in) ,
452
                        .wb_addr_in               (wbs_sm_addr_in),
453
                        .del_bc_in                (wbs_sm_del_bc_in),
454
                        .wb_del_req_pending_in    (wbs_sm_del_req_pending_in),
455
                        .wb_del_comp_pending_in   (wbs_sm_wb_del_comp_pending_in),
456
                        .pci_drcomp_pending_in    (wbs_sm_pci_drcomp_pending_in),
457
                        .del_bc_out               (wbs_sm_del_bc_out),
458
                        .del_req_out              (wbs_sm_del_req_out),
459
                        .del_done_out             (wbs_sm_del_done_out),
460
                        .del_burst_out            (wbs_sm_del_burst_out),
461
                        .del_write_out            (wbs_sm_del_write_out),
462
                        .del_write_in             (wbs_sm_del_write_in),
463
                        .del_error_in             (wbs_sm_del_error_in),
464
                        .wb_del_addr_in           (wbs_sm_del_addr_in),
465
                        .wb_del_be_in             (wbs_sm_del_be_in),
466
                        .wb_conf_offset_out       (wbs_sm_conf_offset_out),
467
                        .wb_conf_renable_out      (wbs_sm_conf_renable_out),
468
                        .wb_conf_wenable_out      (wbs_sm_conf_wenable_out),
469
                        .wb_conf_be_out           (wbs_sm_conf_be_out),
470
                        .wb_conf_data_in          (wbs_sm_conf_data_in),
471
                        .wb_conf_data_out         (wbs_sm_conf_data_out),
472
                        .wb_data_out              (wbs_sm_data_out),
473
                        .wb_cbe_out               (wbs_sm_cbe_out),
474
                        .wbw_fifo_wenable_out     (wbs_sm_wbw_wenable_out),
475
                        .wbw_fifo_control_out     (wbs_sm_wbw_control_out),
476
                        .wbw_fifo_almost_full_in  (wbs_sm_wbw_almost_full_in),
477
                        .wbw_fifo_full_in         (wbs_sm_wbw_full_in),
478
                        .wbr_fifo_renable_out     (wbs_sm_wbr_renable_out),
479
                        .wbr_fifo_be_in           (wbs_sm_wbr_be_in),
480
                        .wbr_fifo_data_in         (wbs_sm_wbr_data_in),
481
                        .wbr_fifo_control_in      (wbs_sm_wbr_control_in),
482
                        .wbr_fifo_flush_out       (wbs_sm_wbr_flush_out),
483
                        .wbr_fifo_empty_in        (wbs_sm_wbr_empty_in),
484
                        .pciw_fifo_empty_in       (wbs_sm_pciw_empty_in),
485
                        .wbs_lock_in              (wbs_sm_lock_in),
486 21 mihad
                        .cache_line_size_not_zero (wbs_sm_cache_line_size_not_zero),
487 2 mihad
                        .del_in_progress_out      (wbs_sm_del_in_progress_out),
488
                        .ccyc_addr_in             (wbs_sm_ccyc_addr_in),
489 21 mihad
                        .sample_address_out       (wbs_sm_sample_address_out),
490 2 mihad
                        .CYC_I                    (wbs_sm_cyc_in),
491
                        .STB_I                    (wbs_sm_stb_in),
492
                        .WE_I                     (wbs_sm_we_in),
493
                        .SEL_I                    (wbs_sm_sel_in),
494
                        .SDATA_I                  (wbs_sm_sdata_in),
495
                        .SDATA_O                  (wbs_sm_sdata_out),
496
                        .ACK_O                    (wbs_sm_ack_out),
497
                        .RTY_O                    (wbs_sm_rty_out),
498
                        .ERR_O                    (wbs_sm_err_out),
499
                        .CAB_I                    (wbs_sm_cab_in)
500
                       );
501
 
502
// wbw_wbr_fifos inputs
503
// WBW_FIFO inputs
504
wire        fifos_wbw_wenable_in        =       wbs_sm_wbw_wenable_out;
505
wire [31:0] fifos_wbw_addr_data_in      =       wbs_sm_data_out ;
506
wire [3:0]  fifos_wbw_cbe_in            =       wbs_sm_cbe_out ;
507
wire [3:0]  fifos_wbw_control_in        =       wbs_sm_wbw_control_out ;
508
wire        fifos_wbw_renable_in        =       pcim_if_wbw_renable_out ;
509
 
510 58 mihad
//wire        fifos_wbw_flush_in          =       1'b0 ; flush for write fifo not used
511
 
512 2 mihad
// WBR_FIFO inputs
513
wire        fifos_wbr_wenable_in        =       pcim_if_wbr_wenable_out ;
514
wire [31:0] fifos_wbr_data_in           =       pcim_if_wbr_data_out ;
515
wire [3:0]  fifos_wbr_be_in             =       pcim_if_wbr_be_out ;
516
wire [3:0]  fifos_wbr_control_in        =       pcim_if_wbr_control_out ;
517
wire        fifos_wbr_renable_in        =       wbs_sm_wbr_renable_out ;
518
wire        fifos_wbr_flush_in          =       wbs_sm_wbr_flush_out || del_sync_comp_flush_out ;
519
 
520
// WBW_FIFO and WBR_FIFO instantiation
521
WBW_WBR_FIFOS fifos(
522
                    .wb_clock_in               (wb_clock_in),
523
                    .pci_clock_in              (pci_clock_in),
524
                    .reset_in                  (reset_in),
525
                    .wbw_wenable_in            (fifos_wbw_wenable_in),
526
                    .wbw_addr_data_in          (fifos_wbw_addr_data_in),
527
                    .wbw_cbe_in                (fifos_wbw_cbe_in),
528
                    .wbw_control_in            (fifos_wbw_control_in),
529
                    .wbw_renable_in            (fifos_wbw_renable_in),
530
                    .wbw_addr_data_out         (fifos_wbw_addr_data_out),
531
                    .wbw_cbe_out               (fifos_wbw_cbe_out),
532 21 mihad
                    .wbw_control_out           (fifos_wbw_control_out),
533 58 mihad
//                    .wbw_flush_in              (fifos_wbw_flush_in),        // flush for write fifo not used
534 2 mihad
                    .wbw_almost_full_out       (fifos_wbw_almost_full_out),
535
                    .wbw_full_out              (fifos_wbw_full_out),
536
                    .wbw_empty_out             (fifos_wbw_empty_out),
537
                    .wbw_transaction_ready_out (fifos_wbw_transaction_ready_out),
538
                    .wbr_wenable_in            (fifos_wbr_wenable_in),
539 21 mihad
                    .wbr_data_in               (fifos_wbr_data_in),
540
                    .wbr_be_in                 (fifos_wbr_be_in),
541
                    .wbr_control_in            (fifos_wbr_control_in),
542
                    .wbr_renable_in            (fifos_wbr_renable_in),
543 2 mihad
                    .wbr_data_out              (fifos_wbr_data_out),
544
                    .wbr_be_out                (fifos_wbr_be_out),
545
                    .wbr_control_out           (fifos_wbr_control_out),
546
                    .wbr_flush_in              (fifos_wbr_flush_in),
547
                    .wbr_empty_out             (fifos_wbr_empty_out)
548
                   ) ;
549
 
550
wire [31:0] amux_addr_in  = ADDR_I ;
551 21 mihad
wire        amux_sample_address_in = wbs_sm_sample_address_out ;
552
 
553 2 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar0_in   =   wbu_bar0_in ;
554 21 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar1_in   =   wbu_bar1_in ;
555
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar2_in   =   wbu_bar2_in ;
556
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar3_in   =   wbu_bar3_in ;
557
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar4_in   =   wbu_bar4_in ;
558
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar5_in   =   wbu_bar5_in ;
559
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am0_in    =   wbu_am0_in ;
560
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am1_in    =   wbu_am1_in ;
561
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am2_in    =   wbu_am2_in ;
562
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am3_in    =   wbu_am3_in ;
563
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am4_in    =   wbu_am4_in ;
564
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am5_in    =   wbu_am5_in ;
565
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta0_in    =   wbu_ta0_in ;
566
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta1_in    =   wbu_ta1_in ;
567
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta2_in    =   wbu_ta2_in ;
568
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta3_in    =   wbu_ta3_in ;
569
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta4_in    =   wbu_ta4_in ;
570
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta5_in    =   wbu_ta5_in ;
571
wire [5:0]  amux_at_en_in = wbu_at_en_in ;
572 2 mihad
 
573
WB_ADDR_MUX wb_addr_dec
574
(
575 21 mihad
    `ifdef REGISTER_WBS_OUTPUTS
576
    .clk_in      (wb_clock_in),
577
    .reset_in    (reset_in),
578
    .sample_address_in (amux_sample_address_in),
579
    `endif
580 2 mihad
    .address_in  (amux_addr_in),
581
    .bar0_in     (amux_bar0_in),
582
    .bar1_in     (amux_bar1_in),
583
    .bar2_in     (amux_bar2_in),
584
    .bar3_in     (amux_bar3_in),
585
    .bar4_in     (amux_bar4_in),
586
    .bar5_in     (amux_bar5_in),
587
    .am0_in      (amux_am0_in),
588
    .am1_in      (amux_am1_in),
589
    .am2_in      (amux_am2_in),
590
    .am3_in      (amux_am3_in),
591
    .am4_in      (amux_am4_in),
592
    .am5_in      (amux_am5_in),
593
    .ta0_in      (amux_ta0_in),
594
    .ta1_in      (amux_ta1_in),
595
    .ta2_in      (amux_ta2_in),
596
    .ta3_in      (amux_ta3_in),
597
    .ta4_in      (amux_ta4_in),
598
    .ta5_in      (amux_ta5_in),
599
    .at_en_in    (amux_at_en_in),
600
    .hit_out     (amux_hit_out),
601
    .address_out (amux_address_out)
602
);
603
 
604
// delayed transaction logic inputs
605 21 mihad
wire        del_sync_req_in             =       wbs_sm_del_req_out ;
606 2 mihad
wire        del_sync_comp_in            =       pcim_if_del_complete_out ;
607
wire        del_sync_done_in            =       wbs_sm_del_done_out ;
608
wire        del_sync_in_progress_in     =       wbs_sm_del_in_progress_out ;
609
wire [31:0] del_sync_addr_in            =       wbs_sm_data_out ;
610
wire [3:0]  del_sync_be_in              =       wbs_sm_conf_be_out ;
611
wire        del_sync_we_in              =       wbs_sm_del_write_out ;
612
wire [3:0]  del_sync_bc_in              =       wbs_sm_del_bc_out ;
613
wire        del_sync_status_in          =       pcim_if_del_error_out ;
614
wire        del_sync_burst_in           =       wbs_sm_del_burst_out ;
615
wire        del_sync_retry_expired_in   =       pcim_if_del_rty_exp_out ;
616
 
617
// delayed transaction logic instantiation
618
DELAYED_SYNC del_sync  (
619
                            .reset_in             (reset_in),
620
                            .req_clk_in           (wb_clock_in),
621
                            .comp_clk_in          (pci_clock_in),
622
                            .req_in               (del_sync_req_in),
623
                            .comp_in              (del_sync_comp_in),
624
                            .done_in              (del_sync_done_in),
625
                            .in_progress_in       (del_sync_in_progress_in),
626
                            .comp_req_pending_out (del_sync_comp_req_pending_out),
627
                            .comp_comp_pending_out(del_sync_comp_comp_pending_out),
628
                            .req_req_pending_out  (del_sync_req_req_pending_out),
629
                            .req_comp_pending_out (del_sync_req_comp_pending_out),
630
                            .addr_in              (del_sync_addr_in),
631
                            .be_in                (del_sync_be_in),
632
                            .addr_out             (del_sync_addr_out),
633
                            .be_out               (del_sync_be_out),
634
                            .we_in                (del_sync_we_in),
635
                            .we_out               (del_sync_we_out),
636
                            .bc_in                (del_sync_bc_in),
637
                            .bc_out               (del_sync_bc_out),
638
                            .status_in            (del_sync_status_in),
639
                            .status_out           (del_sync_status_out),
640
                            .comp_flush_out       (del_sync_comp_flush_out),
641
                            .burst_in             (del_sync_burst_in),
642
                            .burst_out            (del_sync_burst_out),
643
                            .retry_expired_in     (del_sync_retry_expired_in)
644
                        );
645
 
646
// delayed write storage inputs
647
wire        del_write_we_in         =       wbs_sm_del_req_out && wbs_sm_del_write_out ;
648
wire [31:0] del_write_data_in       =       wbs_sm_conf_data_out ;
649
 
650
DELAYED_WRITE_REG delayed_write_data
651
(
652
        .reset_in       (reset_in),
653
        .req_clk_in     (wb_clock_in),
654
        .comp_wdata_out (del_write_data_out),
655
        .req_we_in      (del_write_we_in),
656
        .req_wdata_in   (del_write_data_in)
657
);
658
 
659
`ifdef HOST
660
    // configuration cycle address decoder input
661
    wire    [31:0]      ccyc_addr_in = {8'h00, wbu_ccyc_addr_in} ;
662
 
663
    CONF_CYC_ADDR_DEC ccyc_addr_dec
664
    (
665
        .ccyc_addr_in   (ccyc_addr_in),
666
        .ccyc_addr_out  (ccyc_addr_out)
667
    ) ;
668
`else
669
`ifdef GUEST
670
    assign ccyc_addr_out = 32'h0000_0000 ;
671
`endif
672
`endif
673
 
674
// pci master interface inputs
675
wire [31:0] pcim_if_wbw_addr_data_in            =           fifos_wbw_addr_data_out ;
676
wire [3:0]  pcim_if_wbw_cbe_in                  =           fifos_wbw_cbe_out ;
677
wire [3:0]  pcim_if_wbw_control_in              =           fifos_wbw_control_out ;
678
wire        pcim_if_wbw_empty_in                =           fifos_wbw_empty_out ;
679
wire        pcim_if_wbw_transaction_ready_in    =           fifos_wbw_transaction_ready_out ;
680 21 mihad
wire [31:0] pcim_if_data_in                     =           pcim_sm_data_out ;
681 2 mihad
wire [31:0] pcim_if_del_wdata_in                =           del_write_data_out ;
682
wire        pcim_if_del_req_in                  =           del_sync_comp_req_pending_out ;
683
wire [31:0] pcim_if_del_addr_in                 =           del_sync_addr_out ;
684
wire [3:0]  pcim_if_del_bc_in                   =           del_sync_bc_out ;
685
wire [3:0]  pcim_if_del_be_in                   =           del_sync_be_out ;
686
wire        pcim_if_del_burst_in                =           del_sync_burst_out ;
687
wire        pcim_if_del_we_in                   =           del_sync_we_out ;
688
wire [7:0]  pcim_if_cache_line_size_in          =           wbu_cache_line_size_in ;
689
wire        pcim_if_wait_in                     =           pcim_sm_wait_out ;
690
wire        pcim_if_wtransfer_in                =           pcim_sm_wtransfer_out ;
691
wire        pcim_if_rtransfer_in                =           pcim_sm_rtransfer_out ;
692
wire        pcim_if_retry_in                    =           pcim_sm_retry_out ;
693
wire        pcim_if_rerror_in                   =           pcim_sm_rerror_out ;
694
wire        pcim_if_first_in                    =           pcim_sm_first_out ;
695
wire        pcim_if_mabort_in                   =           pcim_sm_mabort_out ;
696
 
697
PCI_MASTER32_SM_IF pci_initiator_if
698
(
699
    .clk_in                        (pci_clock_in),
700
    .reset_in                      (reset_in),
701
    .address_out                   (pcim_if_address_out),
702
    .bc_out                        (pcim_if_bc_out),
703
    .data_out                      (pcim_if_data_out),
704
    .data_in                       (pcim_if_data_in),
705
    .be_out                        (pcim_if_be_out),
706
    .req_out                       (pcim_if_req_out),
707
    .rdy_out                       (pcim_if_rdy_out),
708
    .last_out                      (pcim_if_last_out),
709
    .wbw_renable_out               (pcim_if_wbw_renable_out),
710
    .wbw_fifo_addr_data_in         (pcim_if_wbw_addr_data_in),
711
    .wbw_fifo_cbe_in               (pcim_if_wbw_cbe_in),
712
    .wbw_fifo_control_in           (pcim_if_wbw_control_in),
713
    .wbw_fifo_empty_in             (pcim_if_wbw_empty_in),
714
    .wbw_fifo_transaction_ready_in (pcim_if_wbw_transaction_ready_in),
715
    .wbr_fifo_wenable_out          (pcim_if_wbr_wenable_out),
716
    .wbr_fifo_data_out             (pcim_if_wbr_data_out),
717
    .wbr_fifo_be_out               (pcim_if_wbr_be_out),
718
    .wbr_fifo_control_out          (pcim_if_wbr_control_out),
719
    .del_wdata_in                  (pcim_if_del_wdata_in),
720
    .del_complete_out              (pcim_if_del_complete_out),
721
    .del_req_in                    (pcim_if_del_req_in),
722
    .del_addr_in                   (pcim_if_del_addr_in),
723
    .del_bc_in                     (pcim_if_del_bc_in),
724
    .del_be_in                     (pcim_if_del_be_in),
725
    .del_burst_in                  (pcim_if_del_burst_in),
726
    .del_error_out                 (pcim_if_del_error_out),
727
    .del_rty_exp_out               (pcim_if_del_rty_exp_out),
728
    .del_we_in                     (pcim_if_del_we_in),
729
    .err_addr_out                  (pcim_if_err_addr_out),
730
    .err_bc_out                    (pcim_if_err_bc_out),
731
    .err_signal_out                (pcim_if_err_signal_out),
732
    .err_source_out                (pcim_if_err_source_out),
733
    .err_rty_exp_out               (pcim_if_err_rty_exp_out),
734
    .cache_line_size_in            (pcim_if_cache_line_size_in),
735 21 mihad
    .mabort_received_out           (pcim_if_mabort_out),
736
    .tabort_received_out           (pcim_if_tabort_out),
737 2 mihad
    .next_data_out                 (pcim_if_next_data_out),
738
    .next_be_out                   (pcim_if_next_be_out),
739
    .next_last_out                 (pcim_if_next_last_out),
740
    .wait_in                       (pcim_if_wait_in),
741
    .wtransfer_in                  (pcim_if_wtransfer_in),
742
    .rtransfer_in                  (pcim_if_rtransfer_in),
743
    .retry_in                      (pcim_if_retry_in),
744
    .rerror_in                     (pcim_if_rerror_in),
745
    .first_in                      (pcim_if_first_in),
746 21 mihad
    .mabort_in                     (pcim_if_mabort_in),
747
    .posted_write_not_present_out  (pcim_if_posted_write_not_present_out)
748 2 mihad
);
749
 
750
// pci master state machine inputs
751
wire        pcim_sm_gnt_in                  =       wbu_pciif_gnt_in ;
752
wire        pcim_sm_frame_in                =       wbu_pciif_frame_in ;
753
wire        pcim_sm_irdy_in                 =       wbu_pciif_irdy_in ;
754
wire        pcim_sm_trdy_in                 =       wbu_pciif_trdy_in;
755
wire        pcim_sm_stop_in                 =       wbu_pciif_stop_in ;
756
wire        pcim_sm_devsel_in               =       wbu_pciif_devsel_in ;
757
wire [31:0] pcim_sm_ad_reg_in               =       wbu_pciif_ad_reg_in ;
758
wire [31:0] pcim_sm_address_in              =       pcim_if_address_out ;
759
wire [3:0]  pcim_sm_bc_in                   =       pcim_if_bc_out ;
760
wire [31:0] pcim_sm_data_in                 =       pcim_if_data_out ;
761
wire [3:0]  pcim_sm_be_in                   =       pcim_if_be_out ;
762
wire        pcim_sm_req_in                  =       pcim_if_req_out ;
763
wire        pcim_sm_rdy_in                  =       pcim_if_rdy_out ;
764
wire        pcim_sm_last_in                 =       pcim_if_last_out ;
765
wire [7:0]  pcim_sm_latency_tim_val_in      =       wbu_latency_tim_val_in ;
766
wire [31:0] pcim_sm_next_data_in            =       pcim_if_next_data_out ;
767
wire [3:0]  pcim_sm_next_be_in              =       pcim_if_next_be_out ;
768
wire        pcim_sm_next_last_in            =       pcim_if_next_last_out ;
769 21 mihad
wire        pcim_sm_trdy_reg_in             =       wbu_pciif_trdy_reg_in ;
770
wire        pcim_sm_stop_reg_in             =       wbu_pciif_stop_reg_in ;
771 2 mihad
wire        pcim_sm_devsel_reg_in           =       wbu_pciif_devsel_reg_in ;
772
wire        pcim_sm_frame_en_in             =       wbu_pciif_frame_en_in ;
773
wire        pcim_sm_frame_out_in            =       wbu_pciif_frame_out_in ;
774
 
775
PCI_MASTER32_SM pci_initiator_sm
776
(
777 21 mihad
    .clk_in                     (pci_clock_in),
778
    .reset_in                   (reset_in),
779
    .pci_req_out                (pcim_sm_req_out),
780
    .pci_gnt_in                 (pcim_sm_gnt_in),
781
    .pci_frame_in               (pcim_sm_frame_in),
782
    .pci_frame_out              (pcim_sm_frame_out),
783
    .pci_frame_en_out           (pcim_sm_frame_en_out),
784
    .pci_frame_out_in           (pcim_sm_frame_out_in),
785
    .pci_frame_load_out         (pcim_sm_frame_load_out),
786
    .pci_frame_en_in            (pcim_sm_frame_en_in),
787
    .pci_irdy_in                (pcim_sm_irdy_in),
788
    .pci_irdy_out               (pcim_sm_irdy_out),
789
    .pci_irdy_en_out            (pcim_sm_irdy_en_out),
790
    .pci_trdy_in                (pcim_sm_trdy_in),
791
    .pci_trdy_reg_in            (pcim_sm_trdy_reg_in),
792
    .pci_stop_in                (pcim_sm_stop_in),
793
    .pci_stop_reg_in            (pcim_sm_stop_reg_in),
794
    .pci_devsel_in              (pcim_sm_devsel_in),
795
    .pci_devsel_reg_in          (pcim_sm_devsel_reg_in),
796
    .pci_ad_reg_in              (pcim_sm_ad_reg_in),
797
    .pci_ad_out                 (pcim_sm_ad_out),
798
    .pci_ad_en_out              (pcim_sm_ad_en_out),
799
    .pci_cbe_out                (pcim_sm_cbe_out),
800
    .pci_cbe_en_out             (pcim_sm_cbe_en_out),
801
    .address_in                 (pcim_sm_address_in),
802
    .bc_in                      (pcim_sm_bc_in),
803
    .data_in                    (pcim_sm_data_in),
804
    .data_out                   (pcim_sm_data_out),
805
    .be_in                      (pcim_sm_be_in),
806
    .req_in                     (pcim_sm_req_in),
807
    .rdy_in                     (pcim_sm_rdy_in),
808
    .last_in                    (pcim_sm_last_in),
809
    .latency_tim_val_in         (pcim_sm_latency_tim_val_in),
810
    .next_data_in               (pcim_sm_next_data_in),
811
    .next_be_in                 (pcim_sm_next_be_in),
812
    .next_last_in               (pcim_sm_next_last_in),
813
    .ad_load_out                (pcim_sm_ad_load_out),
814
    .ad_load_on_transfer_out    (pcim_sm_ad_load_on_transfer_out),
815
    .wait_out                   (pcim_sm_wait_out),
816
    .wtransfer_out              (pcim_sm_wtransfer_out),
817
    .rtransfer_out              (pcim_sm_rtransfer_out),
818
    .retry_out                  (pcim_sm_retry_out),
819
    .rerror_out                 (pcim_sm_rerror_out),
820
    .first_out                  (pcim_sm_first_out),
821
    .mabort_out                 (pcim_sm_mabort_out)
822 2 mihad
) ;
823
 
824 21 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.