OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [wb_slave_unit.v] - Blame information for rev 62

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "wb_slave_unit.v"                                 ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 62 mihad
// Revision 1.4  2002/09/25 15:53:52  mihad
46
// Removed all logic from asynchronous reset network
47
//
48 58 mihad
// Revision 1.3  2002/02/01 15:25:13  mihad
49
// Repaired a few bugs, updated specification, added test bench files and design document
50
//
51 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
52
// Updated all files with inclusion of timescale file for simulation purposes.
53
//
54 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
55
// New project directory structure
56 2 mihad
//
57 6 mihad
//
58 2 mihad
 
59
// Module instantiates and connects other modules lower in hierarcy
60
// Wishbone slave unit consists of modules that together form datapath
61
// between external WISHBONE masters and external PCI targets
62 21 mihad
`include "pci_constants.v"
63
 
64
// synopsys translate_off
65 6 mihad
`include "timescale.v"
66 21 mihad
// synopsys translate_on
67
 
68 2 mihad
module WB_SLAVE_UNIT
69
(
70
    reset_in,
71
    wb_clock_in,
72
    pci_clock_in,
73
    ADDR_I,
74
    SDATA_I,
75
    SDATA_O,
76
    CYC_I,
77
    STB_I,
78
    WE_I,
79
    SEL_I,
80
    ACK_O,
81
    RTY_O,
82
    ERR_O,
83
    CAB_I,
84
    wbu_map_in,
85
    wbu_pref_en_in,
86
    wbu_mrl_en_in,
87
    wbu_pci_drcomp_pending_in,
88 21 mihad
    wbu_conf_data_in,
89 2 mihad
    wbu_pciw_empty_in,
90
    wbu_bar0_in,
91 21 mihad
    wbu_bar1_in,
92
    wbu_bar2_in,
93
    wbu_bar3_in,
94
    wbu_bar4_in,
95
    wbu_bar5_in,
96 2 mihad
    wbu_am0_in,
97
    wbu_am1_in,
98
    wbu_am2_in,
99
    wbu_am3_in,
100
    wbu_am4_in,
101
    wbu_am5_in,
102
    wbu_ta0_in,
103
    wbu_ta1_in,
104
    wbu_ta2_in,
105
    wbu_ta3_in,
106
    wbu_ta4_in,
107
    wbu_ta5_in,
108
    wbu_at_en_in,
109
    wbu_ccyc_addr_in ,
110
    wbu_master_enable_in,
111 21 mihad
    wbu_cache_line_size_not_zero,
112 2 mihad
    wbu_cache_line_size_in,
113
    wbu_pciif_gnt_in,
114 21 mihad
    wbu_pciif_frame_in,
115
    wbu_pciif_irdy_in,
116
    wbu_pciif_trdy_in,
117
    wbu_pciif_trdy_reg_in,
118
    wbu_pciif_stop_in,
119
    wbu_pciif_stop_reg_in,
120 2 mihad
    wbu_pciif_devsel_in,
121
    wbu_pciif_devsel_reg_in,
122
    wbu_pciif_ad_reg_in,
123
    wbu_pciif_req_out,
124 21 mihad
    wbu_pciif_frame_out,
125 2 mihad
    wbu_pciif_frame_en_out,
126
    wbu_pciif_frame_en_in,
127
    wbu_pciif_frame_out_in,
128
    wbu_pciif_frame_load_out,
129 21 mihad
    wbu_pciif_irdy_out,
130 2 mihad
    wbu_pciif_irdy_en_out,
131 21 mihad
    wbu_pciif_ad_out,
132
    wbu_pciif_ad_en_out,
133
    wbu_pciif_cbe_out,
134 2 mihad
    wbu_pciif_cbe_en_out,
135 21 mihad
    wbu_err_addr_out,
136
    wbu_err_bc_out,
137
    wbu_err_signal_out,
138
    wbu_err_source_out,
139 2 mihad
    wbu_err_rty_exp_out,
140 21 mihad
    wbu_tabort_rec_out,
141 2 mihad
    wbu_mabort_rec_out,
142
    wbu_conf_offset_out,
143
    wbu_conf_renable_out,
144
    wbu_conf_wenable_out,
145 21 mihad
    wbu_conf_be_out,
146
    wbu_conf_data_out,
147 2 mihad
    wbu_del_read_comp_pending_out,
148
    wbu_wbw_fifo_empty_out,
149
    wbu_latency_tim_val_in,
150 21 mihad
    wbu_ad_load_out,
151
    wbu_ad_load_on_transfer_out
152 62 mihad
 
153
`ifdef PCI_BIST
154
    ,
155
    // debug chain signals
156
    SO         ,
157
    SI         ,
158
    shift_DR   ,
159
    capture_DR ,
160
    extest     ,
161
    tck
162
`endif
163 2 mihad
);
164
 
165
input reset_in,
166
      wb_clock_in,
167
      pci_clock_in ;
168
 
169
input   [31:0]  ADDR_I   ;
170
input   [31:0]  SDATA_I  ;
171
output  [31:0]  SDATA_O  ;
172
input           CYC_I    ;
173
input           STB_I    ;
174
input           WE_I     ;
175
input   [3:0]   SEL_I    ;
176
output          ACK_O    ;
177
output          RTY_O    ;
178
output          ERR_O    ;
179
input           CAB_I    ;
180
 
181
input   [5:0]   wbu_map_in ;
182
input   [5:0]   wbu_pref_en_in ;
183
input   [5:0]   wbu_mrl_en_in ;
184
 
185
input           wbu_pci_drcomp_pending_in ;
186
 
187
input   [31:0]  wbu_conf_data_in ;
188
 
189
input           wbu_pciw_empty_in ;
190
 
191 21 mihad
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in ;
192
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in ;
193
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in ;
194
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in ;
195
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in ;
196
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in ;
197
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in ;
198
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in ;
199
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in ;
200
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in ;
201
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in ;
202
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in ;
203
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in ;
204
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in ;
205
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in ;
206
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in ;
207
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in ;
208
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in ;
209 2 mihad
input   [5:0]                               wbu_at_en_in ;
210
 
211
input   [23:0]  wbu_ccyc_addr_in ;
212
 
213
input           wbu_master_enable_in ;
214
 
215 21 mihad
input                   wbu_cache_line_size_not_zero ;
216 2 mihad
input   [7:0]   wbu_cache_line_size_in ;
217
 
218 21 mihad
input           wbu_pciif_gnt_in ;
219
input           wbu_pciif_frame_in ;
220 2 mihad
input           wbu_pciif_frame_en_in ;
221 21 mihad
input           wbu_pciif_irdy_in ;
222
input           wbu_pciif_trdy_in;
223
input           wbu_pciif_trdy_reg_in;
224
input           wbu_pciif_stop_in ;
225
input           wbu_pciif_stop_reg_in ;
226 2 mihad
input           wbu_pciif_devsel_in ;
227
input           wbu_pciif_devsel_reg_in ;
228
input [31:0]    wbu_pciif_ad_reg_in ;
229
 
230
output          wbu_pciif_req_out ;
231
output          wbu_pciif_frame_out ;
232
output          wbu_pciif_frame_en_out ;
233
input           wbu_pciif_frame_out_in ;
234
output          wbu_pciif_frame_load_out ;
235
output          wbu_pciif_irdy_out ;
236
output          wbu_pciif_irdy_en_out ;
237
output  [31:0]  wbu_pciif_ad_out ;
238
output          wbu_pciif_ad_en_out ;
239
output  [3:0]   wbu_pciif_cbe_out ;
240
output          wbu_pciif_cbe_en_out ;
241
 
242
output  [31:0]  wbu_err_addr_out ;
243
output  [3:0]   wbu_err_bc_out ;
244
output          wbu_err_signal_out ;
245
output          wbu_err_source_out ;
246
output          wbu_err_rty_exp_out ;
247
output          wbu_tabort_rec_out ;
248
output          wbu_mabort_rec_out ;
249
 
250
output  [11:0]  wbu_conf_offset_out ;
251
output          wbu_conf_renable_out ;
252
output          wbu_conf_wenable_out ;
253
output  [3:0]   wbu_conf_be_out ;
254
output  [31:0]  wbu_conf_data_out ;
255
 
256
output          wbu_del_read_comp_pending_out ;
257
output          wbu_wbw_fifo_empty_out ;
258
 
259
input   [7:0]   wbu_latency_tim_val_in ;
260
 
261 21 mihad
output          wbu_ad_load_out ;
262
output          wbu_ad_load_on_transfer_out ;
263 2 mihad
 
264 62 mihad
`ifdef PCI_BIST
265
/*-----------------------------------------------------
266
BIST debug chain port signals
267
-----------------------------------------------------*/
268
output  SO ;
269
input   SI ;
270
input   shift_DR ;
271
input   capture_DR ;
272
input   extest ;
273
input   tck ;
274
`endif
275 21 mihad
 
276 2 mihad
// pci master interface outputs
277 21 mihad
wire [31:0] pcim_if_address_out ;
278 2 mihad
wire [3:0]  pcim_if_bc_out ;
279 21 mihad
wire [31:0] pcim_if_data_out ;
280 2 mihad
wire [3:0]  pcim_if_be_out ;
281
wire        pcim_if_req_out ;
282
wire        pcim_if_rdy_out ;
283
wire        pcim_if_last_out ;
284
wire        pcim_if_wbw_renable_out ;
285
wire        pcim_if_wbr_wenable_out ;
286
wire [31:0] pcim_if_wbr_data_out ;
287
wire [3:0]  pcim_if_wbr_be_out ;
288
wire [3:0]  pcim_if_wbr_control_out ;
289
wire        pcim_if_del_complete_out ;
290
wire        pcim_if_del_error_out ;
291
wire        pcim_if_del_rty_exp_out ;
292
wire [31:0] pcim_if_err_addr_out ;
293
wire [3:0]  pcim_if_err_bc_out ;
294
wire        pcim_if_err_signal_out ;
295
wire        pcim_if_err_source_out ;
296
wire        pcim_if_err_rty_exp_out ;
297
wire        pcim_if_tabort_out ;
298
wire        pcim_if_mabort_out ;
299
wire [31:0] pcim_if_next_data_out ;
300
wire [3:0]  pcim_if_next_be_out ;
301
wire        pcim_if_next_last_out ;
302 21 mihad
wire        pcim_if_posted_write_not_present_out ;
303 2 mihad
 
304
 
305
 
306
wire        pcim_sm_req_out ;
307
wire        pcim_sm_frame_out ;
308
wire        pcim_sm_frame_en_out ;
309
wire        pcim_sm_irdy_out ;
310
wire        pcim_sm_irdy_en_out ;
311
wire [31:0] pcim_sm_ad_out ;
312
wire        pcim_sm_ad_en_out ;
313
wire [3:0]  pcim_sm_cbe_out ;
314
wire        pcim_sm_cbe_en_out ;
315 21 mihad
wire        pcim_sm_ad_load_out ;
316
wire        pcim_sm_ad_load_on_transfer_out ;
317 2 mihad
 
318
wire        pcim_sm_wait_out ;
319
wire        pcim_sm_wtransfer_out ;
320
wire        pcim_sm_rtransfer_out ;
321
wire        pcim_sm_retry_out ;
322
wire        pcim_sm_rerror_out ;
323
wire        pcim_sm_first_out ;
324
wire        pcim_sm_mabort_out ;
325
wire        pcim_sm_frame_load_out ;
326
 
327
assign wbu_pciif_frame_load_out = pcim_sm_frame_load_out ;
328
 
329
assign wbu_err_addr_out     =   pcim_if_err_addr_out ;
330
assign wbu_err_bc_out       =   pcim_if_err_bc_out ;
331 21 mihad
assign wbu_err_signal_out   =   pcim_if_err_signal_out ;
332
assign wbu_err_source_out   =   pcim_if_err_source_out ;
333 2 mihad
assign wbu_err_rty_exp_out  =   pcim_if_err_rty_exp_out ;
334 21 mihad
assign wbu_tabort_rec_out   =   pcim_if_tabort_out ;
335
assign wbu_mabort_rec_out   =   pcim_if_mabort_out ;
336 2 mihad
 
337 21 mihad
assign wbu_wbw_fifo_empty_out = pcim_if_posted_write_not_present_out ;
338
 
339 2 mihad
// pci master state machine outputs
340
// pci interface signals
341 21 mihad
assign  wbu_pciif_req_out           =           pcim_sm_req_out ;
342
assign  wbu_pciif_frame_out         =           pcim_sm_frame_out ;
343
assign  wbu_pciif_frame_en_out      =           pcim_sm_frame_en_out ;
344
assign  wbu_pciif_irdy_out          =           pcim_sm_irdy_out ;
345
assign  wbu_pciif_irdy_en_out       =           pcim_sm_irdy_en_out ;
346
assign  wbu_pciif_ad_out            =           pcim_sm_ad_out ;
347
assign  wbu_pciif_ad_en_out         =           pcim_sm_ad_en_out ;
348
assign  wbu_pciif_cbe_out           =           pcim_sm_cbe_out ;
349
assign  wbu_pciif_cbe_en_out        =           pcim_sm_cbe_en_out ;
350
assign  wbu_ad_load_out             =           pcim_sm_ad_load_out ;
351
assign  wbu_ad_load_on_transfer_out =           pcim_sm_ad_load_on_transfer_out ;
352 2 mihad
 
353
// signals to internal of the core
354
wire [31:0] pcim_sm_data_out ;
355
 
356
// wishbone slave state machine outputs
357
wire [3:0]  wbs_sm_del_bc_out ;
358
wire        wbs_sm_del_req_out ;
359
wire        wbs_sm_del_done_out ;
360
wire        wbs_sm_del_burst_out ;
361
wire        wbs_sm_del_write_out ;
362
wire [11:0] wbs_sm_conf_offset_out ;
363
wire        wbs_sm_conf_renable_out ;
364
wire        wbs_sm_conf_wenable_out ;
365
wire [3:0]  wbs_sm_conf_be_out ;
366
wire [31:0] wbs_sm_conf_data_out ;
367
wire [31:0] wbs_sm_data_out ;
368
wire [3:0]  wbs_sm_cbe_out ;
369
wire        wbs_sm_wbw_wenable_out ;
370
wire [3:0]  wbs_sm_wbw_control_out ;
371
wire        wbs_sm_wbr_renable_out ;
372
wire        wbs_sm_wbr_flush_out ;
373
wire        wbs_sm_del_in_progress_out ;
374
wire [31:0] wbs_sm_sdata_out ;
375
wire        wbs_sm_ack_out ;
376
wire        wbs_sm_rty_out ;
377
wire        wbs_sm_err_out ;
378 21 mihad
wire        wbs_sm_sample_address_out ;
379 2 mihad
 
380
assign wbu_conf_offset_out  = wbs_sm_conf_offset_out ;
381
assign wbu_conf_renable_out = wbs_sm_conf_renable_out ;
382
assign wbu_conf_wenable_out = wbs_sm_conf_wenable_out ;
383
assign wbu_conf_be_out      = ~wbs_sm_conf_be_out ;
384
assign wbu_conf_data_out    = wbs_sm_conf_data_out ;
385
 
386
assign SDATA_O = wbs_sm_sdata_out ;
387
assign ACK_O   = wbs_sm_ack_out ;
388
assign RTY_O   = wbs_sm_rty_out ;
389
assign ERR_O   = wbs_sm_err_out ;
390
 
391
 
392
// wbw_wbr fifo outputs
393
 
394
// wbw_fifo_outputs:
395
wire [31:0] fifos_wbw_addr_data_out ;
396
wire [3:0]  fifos_wbw_cbe_out ;
397
wire [3:0]  fifos_wbw_control_out ;
398
wire        fifos_wbw_almost_full_out ;
399
wire        fifos_wbw_full_out ;
400
wire        fifos_wbw_empty_out ;
401
wire        fifos_wbw_transaction_ready_out ;
402
 
403
// wbr_fifo_outputs
404
wire [31:0] fifos_wbr_data_out ;
405
wire [3:0]  fifos_wbr_be_out ;
406
wire [3:0]  fifos_wbr_control_out ;
407
wire        fifos_wbr_empty_out ;
408
 
409
// address multiplexer outputs
410
wire [5:0]  amux_hit_out ;
411
wire [31:0] amux_address_out ;
412
 
413
// delayed transaction logic outputs
414
wire [31:0] del_sync_addr_out ;
415
wire [3:0]  del_sync_be_out ;
416
wire        del_sync_we_out ;
417
wire        del_sync_comp_req_pending_out ;
418
wire        del_sync_comp_comp_pending_out ;
419
wire        del_sync_req_req_pending_out ;
420
wire        del_sync_req_comp_pending_out ;
421
wire [3:0]  del_sync_bc_out ;
422
wire        del_sync_status_out ;
423
wire        del_sync_comp_flush_out ;
424
wire        del_sync_burst_out ;
425
 
426
assign wbu_del_read_comp_pending_out = del_sync_comp_comp_pending_out ;
427
 
428 21 mihad
// delayed write storage output
429 2 mihad
wire [31:0] del_write_data_out ;
430
 
431
// config. cycle address decoder output
432
wire [31:0] ccyc_addr_out ;
433
 
434
 
435 21 mihad
// WISHBONE slave interface inputs
436 2 mihad
wire [4:0]  wbs_sm_hit_in                   =       amux_hit_out[5:1] ;
437
wire        wbs_sm_conf_hit_in              =       amux_hit_out[0]   ;
438
wire [4:0]  wbs_sm_map_in                   =       wbu_map_in[5:1]        ;
439
wire [4:0]  wbs_sm_pref_en_in               =       wbu_pref_en_in[5:1]    ;
440
wire [4:0]  wbs_sm_mrl_en_in                =       wbu_mrl_en_in[5:1]     ;
441
wire [31:0] wbs_sm_addr_in                  =       amux_address_out ;
442
wire [3:0]  wbs_sm_del_bc_in                =       del_sync_bc_out  ;
443
wire        wbs_sm_del_req_pending_in       =       del_sync_req_req_pending_out ;
444
wire        wbs_sm_wb_del_comp_pending_in   =       del_sync_req_comp_pending_out ;
445
wire        wbs_sm_pci_drcomp_pending_in    =       wbu_pci_drcomp_pending_in ;
446
wire        wbs_sm_del_write_in             =       del_sync_we_out ;
447
wire        wbs_sm_del_error_in             =       del_sync_status_out ;
448
wire [31:0] wbs_sm_del_addr_in              =       del_sync_addr_out ;
449
wire [3:0]  wbs_sm_del_be_in                =       del_sync_be_out ;
450
wire [31:0] wbs_sm_conf_data_in             =       wbu_conf_data_in ;
451
wire        wbs_sm_wbw_almost_full_in       =       fifos_wbw_almost_full_out ;
452
wire        wbs_sm_wbw_full_in              =       fifos_wbw_full_out ;
453
wire [3:0]  wbs_sm_wbr_be_in                =       fifos_wbr_be_out ;
454
wire [31:0] wbs_sm_wbr_data_in              =       fifos_wbr_data_out ;
455
wire [3:0]  wbs_sm_wbr_control_in           =       fifos_wbr_control_out ;
456
wire        wbs_sm_wbr_empty_in             =       fifos_wbr_empty_out ;
457
wire        wbs_sm_pciw_empty_in            =       wbu_pciw_empty_in ;
458 21 mihad
wire        wbs_sm_lock_in                  =       ~wbu_master_enable_in ;
459
wire            wbs_sm_cache_line_size_not_zero =               wbu_cache_line_size_not_zero ;
460 2 mihad
wire        wbs_sm_cyc_in                   =       CYC_I ;
461
wire        wbs_sm_stb_in                   =       STB_I ;
462
wire        wbs_sm_we_in                    =       WE_I  ;
463
wire [3:0]  wbs_sm_sel_in                   =       SEL_I ;
464
wire [31:0] wbs_sm_sdata_in                 =       SDATA_I ;
465
wire        wbs_sm_cab_in                   =       CAB_I ;
466
wire [31:0] wbs_sm_ccyc_addr_in             =       ccyc_addr_out ;
467
 
468
// WISHBONE slave interface instantiation
469
WB_SLAVE wishbone_slave(
470
                        .wb_clock_in              (wb_clock_in) ,
471
                        .reset_in                 (reset_in) ,
472
                        .wb_hit_in                (wbs_sm_hit_in) ,
473
                        .wb_conf_hit_in           (wbs_sm_conf_hit_in) ,
474
                        .wb_map_in                (wbs_sm_map_in) ,
475
                        .wb_pref_en_in            (wbs_sm_pref_en_in) ,
476
                        .wb_mrl_en_in             (wbs_sm_mrl_en_in) ,
477
                        .wb_addr_in               (wbs_sm_addr_in),
478
                        .del_bc_in                (wbs_sm_del_bc_in),
479
                        .wb_del_req_pending_in    (wbs_sm_del_req_pending_in),
480
                        .wb_del_comp_pending_in   (wbs_sm_wb_del_comp_pending_in),
481
                        .pci_drcomp_pending_in    (wbs_sm_pci_drcomp_pending_in),
482
                        .del_bc_out               (wbs_sm_del_bc_out),
483
                        .del_req_out              (wbs_sm_del_req_out),
484
                        .del_done_out             (wbs_sm_del_done_out),
485
                        .del_burst_out            (wbs_sm_del_burst_out),
486
                        .del_write_out            (wbs_sm_del_write_out),
487
                        .del_write_in             (wbs_sm_del_write_in),
488
                        .del_error_in             (wbs_sm_del_error_in),
489
                        .wb_del_addr_in           (wbs_sm_del_addr_in),
490
                        .wb_del_be_in             (wbs_sm_del_be_in),
491
                        .wb_conf_offset_out       (wbs_sm_conf_offset_out),
492
                        .wb_conf_renable_out      (wbs_sm_conf_renable_out),
493
                        .wb_conf_wenable_out      (wbs_sm_conf_wenable_out),
494
                        .wb_conf_be_out           (wbs_sm_conf_be_out),
495
                        .wb_conf_data_in          (wbs_sm_conf_data_in),
496
                        .wb_conf_data_out         (wbs_sm_conf_data_out),
497
                        .wb_data_out              (wbs_sm_data_out),
498
                        .wb_cbe_out               (wbs_sm_cbe_out),
499
                        .wbw_fifo_wenable_out     (wbs_sm_wbw_wenable_out),
500
                        .wbw_fifo_control_out     (wbs_sm_wbw_control_out),
501
                        .wbw_fifo_almost_full_in  (wbs_sm_wbw_almost_full_in),
502
                        .wbw_fifo_full_in         (wbs_sm_wbw_full_in),
503
                        .wbr_fifo_renable_out     (wbs_sm_wbr_renable_out),
504
                        .wbr_fifo_be_in           (wbs_sm_wbr_be_in),
505
                        .wbr_fifo_data_in         (wbs_sm_wbr_data_in),
506
                        .wbr_fifo_control_in      (wbs_sm_wbr_control_in),
507
                        .wbr_fifo_flush_out       (wbs_sm_wbr_flush_out),
508
                        .wbr_fifo_empty_in        (wbs_sm_wbr_empty_in),
509
                        .pciw_fifo_empty_in       (wbs_sm_pciw_empty_in),
510
                        .wbs_lock_in              (wbs_sm_lock_in),
511 21 mihad
                        .cache_line_size_not_zero (wbs_sm_cache_line_size_not_zero),
512 2 mihad
                        .del_in_progress_out      (wbs_sm_del_in_progress_out),
513
                        .ccyc_addr_in             (wbs_sm_ccyc_addr_in),
514 21 mihad
                        .sample_address_out       (wbs_sm_sample_address_out),
515 2 mihad
                        .CYC_I                    (wbs_sm_cyc_in),
516
                        .STB_I                    (wbs_sm_stb_in),
517
                        .WE_I                     (wbs_sm_we_in),
518
                        .SEL_I                    (wbs_sm_sel_in),
519
                        .SDATA_I                  (wbs_sm_sdata_in),
520
                        .SDATA_O                  (wbs_sm_sdata_out),
521
                        .ACK_O                    (wbs_sm_ack_out),
522
                        .RTY_O                    (wbs_sm_rty_out),
523
                        .ERR_O                    (wbs_sm_err_out),
524
                        .CAB_I                    (wbs_sm_cab_in)
525
                       );
526
 
527
// wbw_wbr_fifos inputs
528
// WBW_FIFO inputs
529
wire        fifos_wbw_wenable_in        =       wbs_sm_wbw_wenable_out;
530
wire [31:0] fifos_wbw_addr_data_in      =       wbs_sm_data_out ;
531
wire [3:0]  fifos_wbw_cbe_in            =       wbs_sm_cbe_out ;
532
wire [3:0]  fifos_wbw_control_in        =       wbs_sm_wbw_control_out ;
533
wire        fifos_wbw_renable_in        =       pcim_if_wbw_renable_out ;
534
 
535 58 mihad
//wire        fifos_wbw_flush_in          =       1'b0 ; flush for write fifo not used
536
 
537 2 mihad
// WBR_FIFO inputs
538
wire        fifos_wbr_wenable_in        =       pcim_if_wbr_wenable_out ;
539
wire [31:0] fifos_wbr_data_in           =       pcim_if_wbr_data_out ;
540
wire [3:0]  fifos_wbr_be_in             =       pcim_if_wbr_be_out ;
541
wire [3:0]  fifos_wbr_control_in        =       pcim_if_wbr_control_out ;
542
wire        fifos_wbr_renable_in        =       wbs_sm_wbr_renable_out ;
543
wire        fifos_wbr_flush_in          =       wbs_sm_wbr_flush_out || del_sync_comp_flush_out ;
544
 
545
// WBW_FIFO and WBR_FIFO instantiation
546 62 mihad
WBW_WBR_FIFOS fifos
547
(
548
    .wb_clock_in               (wb_clock_in),
549
    .pci_clock_in              (pci_clock_in),
550
    .reset_in                  (reset_in),
551
    .wbw_wenable_in            (fifos_wbw_wenable_in),
552
    .wbw_addr_data_in          (fifos_wbw_addr_data_in),
553
    .wbw_cbe_in                (fifos_wbw_cbe_in),
554
    .wbw_control_in            (fifos_wbw_control_in),
555
    .wbw_renable_in            (fifos_wbw_renable_in),
556
    .wbw_addr_data_out         (fifos_wbw_addr_data_out),
557
    .wbw_cbe_out               (fifos_wbw_cbe_out),
558
    .wbw_control_out           (fifos_wbw_control_out),
559
//    .wbw_flush_in              (fifos_wbw_flush_in),        // flush for write fifo not used
560
    .wbw_almost_full_out       (fifos_wbw_almost_full_out),
561
    .wbw_full_out              (fifos_wbw_full_out),
562
    .wbw_empty_out             (fifos_wbw_empty_out),
563
    .wbw_transaction_ready_out (fifos_wbw_transaction_ready_out),
564
    .wbr_wenable_in            (fifos_wbr_wenable_in),
565
    .wbr_data_in               (fifos_wbr_data_in),
566
    .wbr_be_in                 (fifos_wbr_be_in),
567
    .wbr_control_in            (fifos_wbr_control_in),
568
    .wbr_renable_in            (fifos_wbr_renable_in),
569
    .wbr_data_out              (fifos_wbr_data_out),
570
    .wbr_be_out                (fifos_wbr_be_out),
571
    .wbr_control_out           (fifos_wbr_control_out),
572
    .wbr_flush_in              (fifos_wbr_flush_in),
573
    .wbr_empty_out             (fifos_wbr_empty_out)
574 2 mihad
 
575 62 mihad
`ifdef PCI_BIST
576
    ,
577
    .SO         (SO),
578
    .SI         (SI),
579
    .shift_DR   (shift_DR),
580
    .capture_DR (capture_DR),
581
    .extest     (extest),
582
    .tck        (tck)
583
`endif
584
) ;
585
 
586 2 mihad
wire [31:0] amux_addr_in  = ADDR_I ;
587 21 mihad
wire        amux_sample_address_in = wbs_sm_sample_address_out ;
588
 
589 2 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar0_in   =   wbu_bar0_in ;
590 21 mihad
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar1_in   =   wbu_bar1_in ;
591
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar2_in   =   wbu_bar2_in ;
592
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar3_in   =   wbu_bar3_in ;
593
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar4_in   =   wbu_bar4_in ;
594
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar5_in   =   wbu_bar5_in ;
595
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am0_in    =   wbu_am0_in ;
596
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am1_in    =   wbu_am1_in ;
597
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am2_in    =   wbu_am2_in ;
598
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am3_in    =   wbu_am3_in ;
599
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am4_in    =   wbu_am4_in ;
600
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am5_in    =   wbu_am5_in ;
601
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta0_in    =   wbu_ta0_in ;
602
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta1_in    =   wbu_ta1_in ;
603
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta2_in    =   wbu_ta2_in ;
604
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta3_in    =   wbu_ta3_in ;
605
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta4_in    =   wbu_ta4_in ;
606
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta5_in    =   wbu_ta5_in ;
607
wire [5:0]  amux_at_en_in = wbu_at_en_in ;
608 2 mihad
 
609
WB_ADDR_MUX wb_addr_dec
610
(
611 21 mihad
    `ifdef REGISTER_WBS_OUTPUTS
612
    .clk_in      (wb_clock_in),
613
    .reset_in    (reset_in),
614
    .sample_address_in (amux_sample_address_in),
615
    `endif
616 2 mihad
    .address_in  (amux_addr_in),
617
    .bar0_in     (amux_bar0_in),
618
    .bar1_in     (amux_bar1_in),
619
    .bar2_in     (amux_bar2_in),
620
    .bar3_in     (amux_bar3_in),
621
    .bar4_in     (amux_bar4_in),
622
    .bar5_in     (amux_bar5_in),
623
    .am0_in      (amux_am0_in),
624
    .am1_in      (amux_am1_in),
625
    .am2_in      (amux_am2_in),
626
    .am3_in      (amux_am3_in),
627
    .am4_in      (amux_am4_in),
628
    .am5_in      (amux_am5_in),
629
    .ta0_in      (amux_ta0_in),
630
    .ta1_in      (amux_ta1_in),
631
    .ta2_in      (amux_ta2_in),
632
    .ta3_in      (amux_ta3_in),
633
    .ta4_in      (amux_ta4_in),
634
    .ta5_in      (amux_ta5_in),
635
    .at_en_in    (amux_at_en_in),
636
    .hit_out     (amux_hit_out),
637
    .address_out (amux_address_out)
638
);
639
 
640
// delayed transaction logic inputs
641 21 mihad
wire        del_sync_req_in             =       wbs_sm_del_req_out ;
642 2 mihad
wire        del_sync_comp_in            =       pcim_if_del_complete_out ;
643
wire        del_sync_done_in            =       wbs_sm_del_done_out ;
644
wire        del_sync_in_progress_in     =       wbs_sm_del_in_progress_out ;
645
wire [31:0] del_sync_addr_in            =       wbs_sm_data_out ;
646
wire [3:0]  del_sync_be_in              =       wbs_sm_conf_be_out ;
647
wire        del_sync_we_in              =       wbs_sm_del_write_out ;
648
wire [3:0]  del_sync_bc_in              =       wbs_sm_del_bc_out ;
649
wire        del_sync_status_in          =       pcim_if_del_error_out ;
650
wire        del_sync_burst_in           =       wbs_sm_del_burst_out ;
651
wire        del_sync_retry_expired_in   =       pcim_if_del_rty_exp_out ;
652
 
653
// delayed transaction logic instantiation
654
DELAYED_SYNC del_sync  (
655
                            .reset_in             (reset_in),
656
                            .req_clk_in           (wb_clock_in),
657
                            .comp_clk_in          (pci_clock_in),
658
                            .req_in               (del_sync_req_in),
659
                            .comp_in              (del_sync_comp_in),
660
                            .done_in              (del_sync_done_in),
661
                            .in_progress_in       (del_sync_in_progress_in),
662
                            .comp_req_pending_out (del_sync_comp_req_pending_out),
663
                            .comp_comp_pending_out(del_sync_comp_comp_pending_out),
664
                            .req_req_pending_out  (del_sync_req_req_pending_out),
665
                            .req_comp_pending_out (del_sync_req_comp_pending_out),
666
                            .addr_in              (del_sync_addr_in),
667
                            .be_in                (del_sync_be_in),
668
                            .addr_out             (del_sync_addr_out),
669
                            .be_out               (del_sync_be_out),
670
                            .we_in                (del_sync_we_in),
671
                            .we_out               (del_sync_we_out),
672
                            .bc_in                (del_sync_bc_in),
673
                            .bc_out               (del_sync_bc_out),
674
                            .status_in            (del_sync_status_in),
675
                            .status_out           (del_sync_status_out),
676
                            .comp_flush_out       (del_sync_comp_flush_out),
677
                            .burst_in             (del_sync_burst_in),
678
                            .burst_out            (del_sync_burst_out),
679
                            .retry_expired_in     (del_sync_retry_expired_in)
680
                        );
681
 
682
// delayed write storage inputs
683
wire        del_write_we_in         =       wbs_sm_del_req_out && wbs_sm_del_write_out ;
684
wire [31:0] del_write_data_in       =       wbs_sm_conf_data_out ;
685
 
686
DELAYED_WRITE_REG delayed_write_data
687
(
688
        .reset_in       (reset_in),
689
        .req_clk_in     (wb_clock_in),
690
        .comp_wdata_out (del_write_data_out),
691
        .req_we_in      (del_write_we_in),
692
        .req_wdata_in   (del_write_data_in)
693
);
694
 
695
`ifdef HOST
696
    // configuration cycle address decoder input
697
    wire    [31:0]      ccyc_addr_in = {8'h00, wbu_ccyc_addr_in} ;
698
 
699
    CONF_CYC_ADDR_DEC ccyc_addr_dec
700
    (
701
        .ccyc_addr_in   (ccyc_addr_in),
702
        .ccyc_addr_out  (ccyc_addr_out)
703
    ) ;
704
`else
705
`ifdef GUEST
706
    assign ccyc_addr_out = 32'h0000_0000 ;
707
`endif
708
`endif
709
 
710
// pci master interface inputs
711
wire [31:0] pcim_if_wbw_addr_data_in            =           fifos_wbw_addr_data_out ;
712
wire [3:0]  pcim_if_wbw_cbe_in                  =           fifos_wbw_cbe_out ;
713
wire [3:0]  pcim_if_wbw_control_in              =           fifos_wbw_control_out ;
714
wire        pcim_if_wbw_empty_in                =           fifos_wbw_empty_out ;
715
wire        pcim_if_wbw_transaction_ready_in    =           fifos_wbw_transaction_ready_out ;
716 21 mihad
wire [31:0] pcim_if_data_in                     =           pcim_sm_data_out ;
717 2 mihad
wire [31:0] pcim_if_del_wdata_in                =           del_write_data_out ;
718
wire        pcim_if_del_req_in                  =           del_sync_comp_req_pending_out ;
719
wire [31:0] pcim_if_del_addr_in                 =           del_sync_addr_out ;
720
wire [3:0]  pcim_if_del_bc_in                   =           del_sync_bc_out ;
721
wire [3:0]  pcim_if_del_be_in                   =           del_sync_be_out ;
722
wire        pcim_if_del_burst_in                =           del_sync_burst_out ;
723
wire        pcim_if_del_we_in                   =           del_sync_we_out ;
724
wire [7:0]  pcim_if_cache_line_size_in          =           wbu_cache_line_size_in ;
725
wire        pcim_if_wait_in                     =           pcim_sm_wait_out ;
726
wire        pcim_if_wtransfer_in                =           pcim_sm_wtransfer_out ;
727
wire        pcim_if_rtransfer_in                =           pcim_sm_rtransfer_out ;
728
wire        pcim_if_retry_in                    =           pcim_sm_retry_out ;
729
wire        pcim_if_rerror_in                   =           pcim_sm_rerror_out ;
730
wire        pcim_if_first_in                    =           pcim_sm_first_out ;
731
wire        pcim_if_mabort_in                   =           pcim_sm_mabort_out ;
732
 
733
PCI_MASTER32_SM_IF pci_initiator_if
734
(
735
    .clk_in                        (pci_clock_in),
736
    .reset_in                      (reset_in),
737
    .address_out                   (pcim_if_address_out),
738
    .bc_out                        (pcim_if_bc_out),
739
    .data_out                      (pcim_if_data_out),
740
    .data_in                       (pcim_if_data_in),
741
    .be_out                        (pcim_if_be_out),
742
    .req_out                       (pcim_if_req_out),
743
    .rdy_out                       (pcim_if_rdy_out),
744
    .last_out                      (pcim_if_last_out),
745
    .wbw_renable_out               (pcim_if_wbw_renable_out),
746
    .wbw_fifo_addr_data_in         (pcim_if_wbw_addr_data_in),
747
    .wbw_fifo_cbe_in               (pcim_if_wbw_cbe_in),
748
    .wbw_fifo_control_in           (pcim_if_wbw_control_in),
749
    .wbw_fifo_empty_in             (pcim_if_wbw_empty_in),
750
    .wbw_fifo_transaction_ready_in (pcim_if_wbw_transaction_ready_in),
751
    .wbr_fifo_wenable_out          (pcim_if_wbr_wenable_out),
752
    .wbr_fifo_data_out             (pcim_if_wbr_data_out),
753
    .wbr_fifo_be_out               (pcim_if_wbr_be_out),
754
    .wbr_fifo_control_out          (pcim_if_wbr_control_out),
755
    .del_wdata_in                  (pcim_if_del_wdata_in),
756
    .del_complete_out              (pcim_if_del_complete_out),
757
    .del_req_in                    (pcim_if_del_req_in),
758
    .del_addr_in                   (pcim_if_del_addr_in),
759
    .del_bc_in                     (pcim_if_del_bc_in),
760
    .del_be_in                     (pcim_if_del_be_in),
761
    .del_burst_in                  (pcim_if_del_burst_in),
762
    .del_error_out                 (pcim_if_del_error_out),
763
    .del_rty_exp_out               (pcim_if_del_rty_exp_out),
764
    .del_we_in                     (pcim_if_del_we_in),
765
    .err_addr_out                  (pcim_if_err_addr_out),
766
    .err_bc_out                    (pcim_if_err_bc_out),
767
    .err_signal_out                (pcim_if_err_signal_out),
768
    .err_source_out                (pcim_if_err_source_out),
769
    .err_rty_exp_out               (pcim_if_err_rty_exp_out),
770
    .cache_line_size_in            (pcim_if_cache_line_size_in),
771 21 mihad
    .mabort_received_out           (pcim_if_mabort_out),
772
    .tabort_received_out           (pcim_if_tabort_out),
773 2 mihad
    .next_data_out                 (pcim_if_next_data_out),
774
    .next_be_out                   (pcim_if_next_be_out),
775
    .next_last_out                 (pcim_if_next_last_out),
776
    .wait_in                       (pcim_if_wait_in),
777
    .wtransfer_in                  (pcim_if_wtransfer_in),
778
    .rtransfer_in                  (pcim_if_rtransfer_in),
779
    .retry_in                      (pcim_if_retry_in),
780
    .rerror_in                     (pcim_if_rerror_in),
781
    .first_in                      (pcim_if_first_in),
782 21 mihad
    .mabort_in                     (pcim_if_mabort_in),
783
    .posted_write_not_present_out  (pcim_if_posted_write_not_present_out)
784 2 mihad
);
785
 
786
// pci master state machine inputs
787
wire        pcim_sm_gnt_in                  =       wbu_pciif_gnt_in ;
788
wire        pcim_sm_frame_in                =       wbu_pciif_frame_in ;
789
wire        pcim_sm_irdy_in                 =       wbu_pciif_irdy_in ;
790
wire        pcim_sm_trdy_in                 =       wbu_pciif_trdy_in;
791
wire        pcim_sm_stop_in                 =       wbu_pciif_stop_in ;
792
wire        pcim_sm_devsel_in               =       wbu_pciif_devsel_in ;
793
wire [31:0] pcim_sm_ad_reg_in               =       wbu_pciif_ad_reg_in ;
794
wire [31:0] pcim_sm_address_in              =       pcim_if_address_out ;
795
wire [3:0]  pcim_sm_bc_in                   =       pcim_if_bc_out ;
796
wire [31:0] pcim_sm_data_in                 =       pcim_if_data_out ;
797
wire [3:0]  pcim_sm_be_in                   =       pcim_if_be_out ;
798
wire        pcim_sm_req_in                  =       pcim_if_req_out ;
799
wire        pcim_sm_rdy_in                  =       pcim_if_rdy_out ;
800
wire        pcim_sm_last_in                 =       pcim_if_last_out ;
801
wire [7:0]  pcim_sm_latency_tim_val_in      =       wbu_latency_tim_val_in ;
802
wire [31:0] pcim_sm_next_data_in            =       pcim_if_next_data_out ;
803
wire [3:0]  pcim_sm_next_be_in              =       pcim_if_next_be_out ;
804
wire        pcim_sm_next_last_in            =       pcim_if_next_last_out ;
805 21 mihad
wire        pcim_sm_trdy_reg_in             =       wbu_pciif_trdy_reg_in ;
806
wire        pcim_sm_stop_reg_in             =       wbu_pciif_stop_reg_in ;
807 2 mihad
wire        pcim_sm_devsel_reg_in           =       wbu_pciif_devsel_reg_in ;
808
wire        pcim_sm_frame_en_in             =       wbu_pciif_frame_en_in ;
809
wire        pcim_sm_frame_out_in            =       wbu_pciif_frame_out_in ;
810
 
811
PCI_MASTER32_SM pci_initiator_sm
812
(
813 21 mihad
    .clk_in                     (pci_clock_in),
814
    .reset_in                   (reset_in),
815
    .pci_req_out                (pcim_sm_req_out),
816
    .pci_gnt_in                 (pcim_sm_gnt_in),
817
    .pci_frame_in               (pcim_sm_frame_in),
818
    .pci_frame_out              (pcim_sm_frame_out),
819
    .pci_frame_en_out           (pcim_sm_frame_en_out),
820
    .pci_frame_out_in           (pcim_sm_frame_out_in),
821
    .pci_frame_load_out         (pcim_sm_frame_load_out),
822
    .pci_frame_en_in            (pcim_sm_frame_en_in),
823
    .pci_irdy_in                (pcim_sm_irdy_in),
824
    .pci_irdy_out               (pcim_sm_irdy_out),
825
    .pci_irdy_en_out            (pcim_sm_irdy_en_out),
826
    .pci_trdy_in                (pcim_sm_trdy_in),
827
    .pci_trdy_reg_in            (pcim_sm_trdy_reg_in),
828
    .pci_stop_in                (pcim_sm_stop_in),
829
    .pci_stop_reg_in            (pcim_sm_stop_reg_in),
830
    .pci_devsel_in              (pcim_sm_devsel_in),
831
    .pci_devsel_reg_in          (pcim_sm_devsel_reg_in),
832
    .pci_ad_reg_in              (pcim_sm_ad_reg_in),
833
    .pci_ad_out                 (pcim_sm_ad_out),
834
    .pci_ad_en_out              (pcim_sm_ad_en_out),
835
    .pci_cbe_out                (pcim_sm_cbe_out),
836
    .pci_cbe_en_out             (pcim_sm_cbe_en_out),
837
    .address_in                 (pcim_sm_address_in),
838
    .bc_in                      (pcim_sm_bc_in),
839
    .data_in                    (pcim_sm_data_in),
840
    .data_out                   (pcim_sm_data_out),
841
    .be_in                      (pcim_sm_be_in),
842
    .req_in                     (pcim_sm_req_in),
843
    .rdy_in                     (pcim_sm_rdy_in),
844
    .last_in                    (pcim_sm_last_in),
845
    .latency_tim_val_in         (pcim_sm_latency_tim_val_in),
846
    .next_data_in               (pcim_sm_next_data_in),
847
    .next_be_in                 (pcim_sm_next_be_in),
848
    .next_last_in               (pcim_sm_next_last_in),
849
    .ad_load_out                (pcim_sm_ad_load_out),
850
    .ad_load_on_transfer_out    (pcim_sm_ad_load_on_transfer_out),
851
    .wait_out                   (pcim_sm_wait_out),
852
    .wtransfer_out              (pcim_sm_wtransfer_out),
853
    .rtransfer_out              (pcim_sm_rtransfer_out),
854
    .retry_out                  (pcim_sm_retry_out),
855
    .rerror_out                 (pcim_sm_rerror_out),
856
    .first_out                  (pcim_sm_first_out),
857
    .mabort_out                 (pcim_sm_mabort_out)
858 2 mihad
) ;
859
 
860 21 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.