OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_5/] [rtl/] [verilog/] [pci_wbr_fifo_control.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 77 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "wbr_fifo_control.v"                              ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45
// Revision 1.6  2002/11/27 20:36:12  mihad
46
// Changed the code a bit to make it more readable.
47
// Functionality not changed in any way.
48
// More robust synchronization in fifos is still pending.
49
//
50
// Revision 1.5  2002/09/30 16:03:04  mihad
51
// Added meta flop module for easier meta stable FF identification during synthesis
52
//
53
// Revision 1.4  2002/09/25 15:53:52  mihad
54
// Removed all logic from asynchronous reset network
55
//
56
// Revision 1.3  2002/02/01 15:25:13  mihad
57
// Repaired a few bugs, updated specification, added test bench files and design document
58
//
59
// Revision 1.2  2001/10/05 08:14:30  mihad
60
// Updated all files with inclusion of timescale file for simulation purposes.
61
//
62
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
63
// New project directory structure
64
//
65
//
66
 
67
/* FIFO_CONTROL module provides read/write address and status generation for
68
   FIFOs implemented with standard dual port SRAM cells in ASIC or FPGA designs */
69
`include "pci_constants.v"
70
// synopsys translate_off
71
`include "timescale.v"
72
// synopsys translate_on
73
 
74
module pci_wbr_fifo_control
75
(
76
    rclock_in,
77
    wclock_in,
78
    renable_in,
79
    wenable_in,
80
    reset_in,
81
    flush_in,
82
    empty_out,
83
    waddr_out,
84
    raddr_out,
85
    rallow_out,
86
    wallow_out
87
) ;
88
 
89
parameter ADDR_LENGTH = 7 ;
90
 
91
// independent clock inputs - rclock_in = read clock, wclock_in = write clock
92
input  rclock_in, wclock_in;
93
 
94
// enable inputs - read address changes on rising edge of rclock_in when reads are allowed
95
//                 write address changes on rising edge of wclock_in when writes are allowed
96
input  renable_in, wenable_in;
97
 
98
// reset input
99
input  reset_in;
100
 
101
// flush input
102
input flush_in ;
103
 
104
// empty status output
105
output empty_out;
106
 
107
// read and write addresses outputs
108
output [(ADDR_LENGTH - 1):0] waddr_out, raddr_out;
109
 
110
// read and write allow outputs
111
output rallow_out, wallow_out ;
112
 
113
// read address register
114
reg [(ADDR_LENGTH - 1):0] raddr ;
115
 
116
// write address register
117
reg [(ADDR_LENGTH - 1):0] waddr;
118
assign waddr_out = waddr ;
119
 
120
// grey code register
121
reg [(ADDR_LENGTH - 1):0] wgrey_addr ;
122
 
123
// next write gray address calculation - bitwise xor between address and shifted address
124
wire [(ADDR_LENGTH - 2):0] calc_wgrey_next  = waddr[(ADDR_LENGTH - 1):1] ^ waddr[(ADDR_LENGTH - 2):0] ;
125
 
126
// grey code register
127
reg [(ADDR_LENGTH - 1):0] rgrey_addr ;
128
 
129
// next read gray address calculation - bitwise xor between address and shifted address
130
wire [(ADDR_LENGTH - 2):0] calc_rgrey_next  = raddr[(ADDR_LENGTH - 1):1] ^ raddr[(ADDR_LENGTH - 2):0] ;
131
 
132
// FF for registered empty flag
133
wire empty ;
134
 
135
// write allow wire
136
wire wallow = wenable_in ;
137
 
138
// write allow output assignment
139
assign wallow_out = wallow ;
140
 
141
// read allow wire
142
wire rallow ;
143
 
144
// clear generation for FFs and registers
145
wire clear = reset_in /*|| flush_in*/ ; // flush changed to synchronous operation
146
 
147
assign empty_out = empty ;
148
 
149
//rallow generation
150
assign rallow = renable_in && !empty ; // reads allowed if read enable is high and FIFO is not empty
151
 
152
// rallow output assignment
153
assign rallow_out = renable_in ;
154
 
155
// at any clock edge that rallow is high, this register provides next read address, so wait cycles are not necessary
156
// when FIFO is empty, this register provides actual read address, so first location can be read
157
reg [(ADDR_LENGTH - 1):0] raddr_plus_one ;
158
 
159
// address output mux - when FIFO is empty, current actual address is driven out, when it is non - empty next address is driven out
160
// done for zero wait state burst
161
assign raddr_out = rallow ? raddr_plus_one : raddr ;
162
 
163
always@(posedge rclock_in or posedge clear)
164
begin
165
    if (clear)
166
    begin
167
        raddr_plus_one <= #`FF_DELAY 2 ;
168
        raddr          <= #`FF_DELAY 1 ;
169
    end
170
    else if (flush_in)
171
    begin
172
        raddr_plus_one <= #`FF_DELAY waddr + 1'b1 ;
173
        raddr          <= #`FF_DELAY waddr ;
174
    end
175
    else if (rallow)
176
    begin
177
        raddr_plus_one <= #`FF_DELAY raddr_plus_one + 1'b1 ;
178
        raddr          <= #`FF_DELAY raddr_plus_one ;
179
    end
180
end
181
 
182
/*-----------------------------------------------------------------------------------------------
183
Read address control consists of Read address counter and Grey Address register
184
--------------------------------------------------------------------------------------------------*/
185
// grey coded address
186
always@(posedge rclock_in or posedge clear)
187
begin
188
    if (clear)
189
    begin
190
        rgrey_addr <= #`FF_DELAY 0 ;
191
    end
192
    else if (flush_in)
193
    begin
194
        rgrey_addr <= #`FF_DELAY wgrey_addr ;   // when flushed, copy value from write side
195
    end
196
    else if (rallow)
197
    begin
198
        rgrey_addr <= #`FF_DELAY {raddr[ADDR_LENGTH - 1], calc_rgrey_next} ;
199
    end
200
end
201
 
202
/*--------------------------------------------------------------------------------------------
203
Write address control consists of write address counter and Grey Code Register
204
----------------------------------------------------------------------------------------------*/
205
// grey coded address for status generation in write clock domain
206
always@(posedge wclock_in or posedge clear)
207
begin
208
    if (clear)
209
    begin
210
        wgrey_addr <= #`FF_DELAY 0 ;
211
    end
212
    else
213
    if (wallow)
214
    begin
215
        wgrey_addr <= #`FF_DELAY {waddr[(ADDR_LENGTH - 1)], calc_wgrey_next} ;
216
    end
217
end
218
 
219
// write address counter - nothing special except initial value
220
always@(posedge wclock_in or posedge clear)
221
begin
222
    if (clear)
223
        // initial value is 1
224
        waddr <= #`FF_DELAY 1 ;
225
    else
226
    if (wallow)
227
        waddr <= #`FF_DELAY waddr + 1'b1 ;
228
end
229
 
230
 
231
/*------------------------------------------------------------------------------------------------------------------------------
232
Empty control:
233
Gray coded write address pointer is synchronized to read clock domain and compared to Gray coded read address pointer.
234
If they are equal, fifo is empty.
235
--------------------------------------------------------------------------------------------------------------------------------*/
236
wire [(ADDR_LENGTH - 1):0] rclk_sync_wgrey_addr ;
237
reg  [(ADDR_LENGTH - 1):0] rclk_wgrey_addr ;
238
synchronizer_flop #(ADDR_LENGTH) i_synchronizer_reg_wgrey_addr
239
(
240
    .data_in        (wgrey_addr),
241
    .clk_out        (rclock_in),
242
    .sync_data_out  (rclk_sync_wgrey_addr),
243
    .async_reset    (1'b0)
244
) ;
245
 
246
always@(posedge rclock_in)
247
begin
248
    rclk_wgrey_addr <= #`FF_DELAY rclk_sync_wgrey_addr ;
249
end
250
 
251
assign empty = (rgrey_addr == rclk_wgrey_addr) ;
252
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.