OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_6/] [rtl/] [verilog/] [pci_bridge32.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "pci_bridge32.v"                                  ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////      - Tadej Markovic (tadej@opencores.org)                  ////
11
////                                                              ////
12
////  All additional information is avaliable in the README       ////
13
////  file.                                                       ////
14
////                                                              ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
19
////                                                              ////
20
//// This source file may be used and distributed without         ////
21
//// restriction provided that this copyright statement is not    ////
22
//// removed from the file and that any derivative work contains  ////
23
//// the original copyright notice and the associated disclaimer. ////
24
////                                                              ////
25
//// This source file is free software; you can redistribute it   ////
26
//// and/or modify it under the terms of the GNU Lesser General   ////
27
//// Public License as published by the Free Software Foundation; ////
28
//// either version 2.1 of the License, or (at your option) any   ////
29
//// later version.                                               ////
30
////                                                              ////
31
//// This source is distributed in the hope that it will be       ////
32
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
33
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
34
//// PURPOSE.  See the GNU Lesser General Public License for more ////
35
//// details.                                                     ////
36
////                                                              ////
37
//// You should have received a copy of the GNU Lesser General    ////
38
//// Public License along with this source; if not, download it   ////
39
//// from http://www.opencores.org/lgpl.shtml                     ////
40
////                                                              ////
41
//////////////////////////////////////////////////////////////////////
42
//
43
// CVS Revision History
44
//
45
// $Log: not supported by cvs2svn $
46
//
47
 
48
`include "constants.v"
49
 
50
// this is top level module of pci bridge core
51
// it instantiates and connects other lower level modules
52
// check polarity of PCI output enables in file out_reg.v and change it according to IO interface specification
53
 
54
module PCI_BRIDGE32
55
(
56
    // WISHBONE system signals
57
    CLK_I,
58
    RST_I,
59
    RST_O,
60
    INT_I,
61
    INT_O,
62
 
63
    // WISHBONE slave interface
64
    ADR_I,
65
    SDAT_I,
66
    SDAT_O,
67
    SEL_I,
68
    CYC_I,
69
    STB_I,
70
    WE_I,
71
    CAB_I,
72
    ACK_O,
73
    RTY_O,
74
    ERR_O,
75
 
76
    // WISHBONE master interface
77
    ADR_O,
78
    MDAT_I,
79
    MDAT_O,
80
    SEL_O,
81
    CYC_O,
82
    STB_O,
83
    WE_O,
84
    CAB_O,
85
    ACK_I,
86
    RTY_I,
87
    ERR_I,
88
 
89
    // pci interface - system pins
90
    PCI_CLK_IN,
91
    PCI_RSTn_IN,
92
    PCI_RSTn_OUT,
93
    PCI_INTAn_IN,
94
    PCI_INTAn_OUT,
95
    PCI_RSTn_EN_OUT,
96
    PCI_INTAn_EN_OUT,
97
 
98
    // arbitration pins
99
    PCI_REQn_OUT,
100
    PCI_REQn_EN_OUT,
101
 
102
    PCI_GNTn_IN,
103
 
104
    // protocol pins
105
    PCI_FRAMEn_IN,
106
    PCI_FRAMEn_OUT,
107
    PCI_FRAMEn_EN_OUT,
108
    PCI_IRDYn_EN_OUT,
109
    PCI_DEVSELn_EN_OUT,
110
    PCI_TRDYn_EN_OUT,
111
    PCI_STOPn_EN_OUT,
112
    PCI_AD_EN_OUT,
113
    PCI_CBEn_EN_OUT,
114
 
115
    PCI_IRDYn_IN,
116
    PCI_IRDYn_OUT,
117
 
118
    PCI_IDSEL_IN,
119
 
120
    PCI_DEVSELn_IN,
121
    PCI_DEVSELn_OUT,
122
 
123
 
124
    PCI_TRDYn_IN,
125
    PCI_TRDYn_OUT,
126
 
127
    PCI_STOPn_IN,
128
    PCI_STOPn_OUT,
129
 
130
    // data transfer pins   
131
    PCI_AD_IN,
132
    PCI_AD_OUT,
133
 
134
    PCI_CBEn_IN,
135
    PCI_CBEn_OUT,
136
 
137
    // parity generation and checking pins
138
    PCI_PAR_IN,
139
    PCI_PAR_OUT,
140
    PCI_PAR_EN_OUT,
141
 
142
    PCI_PERRn_IN,
143
    PCI_PERRn_OUT,
144
    PCI_PERRn_EN_OUT,
145
 
146
    // system error pin
147
    PCI_SERRn_OUT,
148
    PCI_SERRn_EN_OUT
149
);
150
 
151
// WISHBONE system signals
152
input   CLK_I ;
153
input   RST_I ;
154
output  RST_O ;
155
input   INT_I ;
156
output  INT_O ;
157
 
158
// WISHBONE slave interface
159
input   [31:0]  ADR_I ;
160
input   [31:0]  SDAT_I ;
161
output  [31:0]  SDAT_O ;
162
input   [3:0]   SEL_I ;
163
input           CYC_I ;
164
input           STB_I ;
165
input           WE_I  ;
166
input           CAB_I ;
167
output          ACK_O ;
168
output          RTY_O ;
169
output          ERR_O ;
170
 
171
// WISHBONE master interface
172
output  [31:0]  ADR_O ;
173
input   [31:0]  MDAT_I ;
174
output  [31:0]  MDAT_O ;
175
output  [3:0]   SEL_O ;
176
output          CYC_O ;
177
output          STB_O ;
178
output          WE_O  ;
179
output          CAB_O ;
180
input           ACK_I ;
181
input           RTY_I ;
182
input           ERR_I ;
183
 
184
// pci interface - system pins
185
input   PCI_CLK_IN ;
186
input   PCI_RSTn_IN ;
187
output  PCI_RSTn_OUT ;
188
output  PCI_RSTn_EN_OUT ;
189
 
190
input   PCI_INTAn_IN ;
191
output  PCI_INTAn_OUT ;
192
output  PCI_INTAn_EN_OUT ;
193
 
194
// arbitration pins
195
output  PCI_REQn_OUT ;
196
output  PCI_REQn_EN_OUT ;
197
 
198
input   PCI_GNTn_IN ;
199
 
200
// protocol pins
201
input   PCI_FRAMEn_IN ;
202
output  PCI_FRAMEn_OUT ;
203
output  PCI_FRAMEn_EN_OUT ;
204
output  PCI_IRDYn_EN_OUT ;
205
output  PCI_DEVSELn_EN_OUT ;
206
output  PCI_TRDYn_EN_OUT ;
207
output  PCI_STOPn_EN_OUT ;
208
output  [31:0]  PCI_AD_EN_OUT ;
209
output  [3:0]   PCI_CBEn_EN_OUT ;
210
 
211
input   PCI_IRDYn_IN ;
212
output  PCI_IRDYn_OUT ;
213
 
214
input   PCI_IDSEL_IN ;
215
 
216
input   PCI_DEVSELn_IN ;
217
output  PCI_DEVSELn_OUT ;
218
 
219
input   PCI_TRDYn_IN ;
220
output  PCI_TRDYn_OUT ;
221
 
222
input   PCI_STOPn_IN ;
223
output  PCI_STOPn_OUT ;
224
 
225
// data transfer pins   
226
input   [31:0]  PCI_AD_IN ;
227
output  [31:0]  PCI_AD_OUT ;
228
 
229
input   [3:0]   PCI_CBEn_IN ;
230
output  [3:0]   PCI_CBEn_OUT ;
231
 
232
// parity generation and checking pins
233
input   PCI_PAR_IN ;
234
output  PCI_PAR_OUT ;
235
output  PCI_PAR_EN_OUT ;
236
 
237
input   PCI_PERRn_IN ;
238
output  PCI_PERRn_OUT ;
239
output  PCI_PERRn_EN_OUT ;
240
 
241
// system error pin
242
output  PCI_SERRn_OUT ;
243
output  PCI_SERRn_EN_OUT ;
244
 
245
// declare clock and reset wires
246
wire pci_clk = PCI_CLK_IN ;
247
wire wb_clk  = CLK_I ;
248
 
249
assign PCI_RSTn_OUT = 1'b0 ;
250
 
251
`ifdef HOST
252
// host implementation of the bridge gets its reset from WISHBONE bus - RST_I and propagates it to PCI bus
253
wire reset   = RST_I ;
254
assign PCI_RSTn_EN_OUT = ~reset ;
255
assign RST_O = 1'b0 ;
256
`else
257
`ifdef GUEST
258
// guest implementation of the bridge gets its reset from PCI bus - RST# and propagates it to WISHBONE bus
259
wire reset = ~PCI_RSTn_IN ;
260
assign RST_O = reset ;
261
assign PCI_RSTn_EN_OUT = 1'b1 ;
262
`endif
263
`endif
264
 
265
/*==================================================================================================================================================
266
Interrupts not yet implemented
267
==================================================================================================================================================*/
268
assign INT_O = 1'b0 ;
269
assign PCI_INTAn_EN_OUT = 1'b1 ;
270
assign PCI_INTAn_OUT = 1'b1 ;
271
 
272
/*==================================================================================================================================================
273
First comes definition of all modules' outputs, so they can be assigned to any other module's input later in the file, when module is instantiated
274
==================================================================================================================================================*/
275
// WISHBONE SLAVE UNIT OUTPUTS
276
wire    [31:0]  wbu_sdata_out ;
277
wire            wbu_ack_out ;
278
wire            wbu_rty_out ;
279
wire            wbu_err_out ;
280
wire            wbu_pciif_req_out ;
281
wire            wbu_pciif_frame_out ;
282
wire            wbu_pciif_frame_en_out ;
283
wire            wbu_pciif_irdy_out ;
284
wire            wbu_pciif_irdy_en_out ;
285
wire    [31:0]  wbu_pciif_ad_out ;
286
wire            wbu_pciif_ad_en_out ;
287
wire    [3:0]   wbu_pciif_cbe_out ;
288
wire            wbu_pciif_cbe_en_out ;
289
wire    [31:0]  wbu_err_addr_out ;
290
wire    [3:0]   wbu_err_bc_out ;
291
wire            wbu_err_signal_out ;
292
wire            wbu_err_source_out ;
293
wire            wbu_err_rty_exp_out ;
294
wire            wbu_tabort_rec_out ;
295
wire            wbu_mabort_rec_out ;
296
wire    [11:0]  wbu_conf_offset_out ;
297
wire            wbu_conf_renable_out ;
298
wire            wbu_conf_wenable_out ;
299
wire    [3:0]   wbu_conf_be_out ;
300
wire    [31:0]  wbu_conf_data_out ;
301
wire            wbu_del_read_comp_pending_out ;
302
wire            wbu_wbw_fifo_empty_out ;
303
wire            wbu_pciif_load_next_out ;
304
wire            wbu_pciif_frame_load_out ;
305
 
306
// assign wishbone slave unit's outputs to top outputs where possible
307
assign SDAT_O   =   wbu_sdata_out ;
308
assign ACK_O    =   wbu_ack_out ;
309
assign RTY_O    =   wbu_rty_out ;
310
assign ERR_O    =   wbu_err_out ;
311
 
312
// PCI TARGET UNIT OUTPUTS
313
wire    [31:0]  pciu_adr_out ;
314
wire    [31:0]  pciu_mdata_out ;
315
wire            pciu_cyc_out ;
316
wire            pciu_stb_out ;
317
wire            pciu_we_out ;
318
wire    [3:0]   pciu_sel_out ;
319
wire            pciu_cab_out ;
320
wire            pciu_pciif_trdy_out ;
321
wire            pciu_pciif_stop_out ;
322
wire            pciu_pciif_devsel_out ;
323
wire            pciu_pciif_trdy_en_out ;
324
wire            pciu_pciif_stop_en_out ;
325
wire            pciu_pciif_devsel_en_out ;
326
wire                    pciu_pciif_target_load_out ;
327
wire   [31:0]   pciu_pciif_ad_out ;
328
wire            pciu_pciif_ad_en_out ;
329
wire                    pciu_pciif_tabort_set_out ;
330
wire    [31:0]  pciu_err_addr_out ;
331
wire    [3:0]   pciu_err_bc_out ;
332
wire    [31:0]  pciu_err_data_out ;
333
wire    [3:0]   pciu_err_be_out ;
334
wire            pciu_err_signal_out ;
335
wire            pciu_err_source_out ;
336
wire            pciu_err_rty_exp_out ;
337
wire                    pciu_conf_select_out ;
338
wire    [11:0]  pciu_conf_offset_out ;
339
wire            pciu_conf_renable_out ;
340
wire            pciu_conf_wenable_out ;
341
wire    [3:0]   pciu_conf_be_out ;
342
wire    [31:0]  pciu_conf_data_out ;
343
wire                    pciu_pci_drcomp_pending_out ;
344
wire                    pciu_pciw_fifo_empty_out ;
345
 
346
// assign pci target unit's outputs to top outputs where possible
347
assign ADR_O    =   pciu_adr_out ;
348
assign MDAT_O   =   pciu_mdata_out ;
349
assign CYC_O    =   pciu_cyc_out ;
350
assign STB_O    =   pciu_stb_out ;
351
assign WE_O     =   pciu_we_out ;
352
assign SEL_O    =   pciu_sel_out ;
353
assign CAB_O    =   pciu_cab_out ;
354
 
355
// CONFIGURATION SPACE OUTPUTS
356
wire    [31:0]  conf_w_data_out ;
357
wire    [31:0]  conf_r_data_out ;
358
wire            conf_serr_enable_out ;
359
wire            conf_perr_response_out ;
360
wire            conf_pci_master_enable_out ;
361
wire            conf_mem_space_enable_out ;
362
wire            conf_io_space_enable_out ;
363
wire    [7:0]   conf_cache_line_size_out ;
364
wire    [7:0]   conf_latency_tim_out ;
365
wire    [2:0]   conf_int_pin_out ;
366
 
367
wire   [19:0]   conf_pci_ba0_out ;
368
wire   [19:0]   conf_pci_ba1_out ;
369
wire   [19:0]   conf_pci_ba2_out ;
370
wire   [19:0]   conf_pci_ba3_out ;
371
wire   [19:0]   conf_pci_ba4_out ;
372
wire   [19:0]   conf_pci_ba5_out ;
373
wire   [19:0]   conf_pci_ta0_out ;
374
wire   [19:0]   conf_pci_ta1_out ;
375
wire   [19:0]   conf_pci_ta2_out ;
376
wire   [19:0]   conf_pci_ta3_out ;
377
wire   [19:0]   conf_pci_ta4_out ;
378
wire   [19:0]   conf_pci_ta5_out ;
379
wire   [19:0]   conf_pci_am0_out ;
380
wire   [19:0]   conf_pci_am1_out ;
381
wire   [19:0]   conf_pci_am2_out ;
382
wire   [19:0]   conf_pci_am3_out ;
383
wire   [19:0]   conf_pci_am4_out ;
384
wire   [19:0]   conf_pci_am5_out ;
385
 
386
wire            conf_pci_mem_io0_out ;
387
wire            conf_pci_mem_io1_out ;
388
wire            conf_pci_mem_io2_out ;
389
wire            conf_pci_mem_io3_out ;
390
wire            conf_pci_mem_io4_out ;
391
wire            conf_pci_mem_io5_out ;
392
 
393
wire    [1:0]   conf_pci_img_ctrl0_out ;
394
wire    [1:0]   conf_pci_img_ctrl1_out ;
395
wire    [1:0]   conf_pci_img_ctrl2_out ;
396
wire    [1:0]   conf_pci_img_ctrl3_out ;
397
wire    [1:0]   conf_pci_img_ctrl4_out ;
398
wire    [1:0]   conf_pci_img_ctrl5_out ;
399
 
400
wire            conf_pci_err_rty_exp_out ;
401
wire            conf_pci_error_en_out ;
402
 
403
wire    [19:0]  conf_wb_ba0_out ;
404
wire    [19:0]  conf_wb_ba1_out ;
405
wire    [19:0]  conf_wb_ba2_out ;
406
wire    [19:0]  conf_wb_ba3_out ;
407
wire    [19:0]  conf_wb_ba4_out ;
408
wire    [19:0]  conf_wb_ba5_out ;
409
 
410
wire            conf_wb_mem_io0_out ;
411
wire            conf_wb_mem_io1_out ;
412
wire            conf_wb_mem_io2_out ;
413
wire            conf_wb_mem_io3_out ;
414
wire            conf_wb_mem_io4_out ;
415
wire            conf_wb_mem_io5_out ;
416
 
417
wire    [19:0]  conf_wb_am0_out ;
418
wire    [19:0]  conf_wb_am1_out ;
419
wire    [19:0]  conf_wb_am2_out ;
420
wire    [19:0]  conf_wb_am3_out ;
421
wire    [19:0]  conf_wb_am4_out ;
422
wire    [19:0]  conf_wb_am5_out ;
423
wire    [19:0]  conf_wb_ta0_out ;
424
wire    [19:0]  conf_wb_ta1_out ;
425
wire    [19:0]  conf_wb_ta2_out ;
426
wire    [19:0]  conf_wb_ta3_out ;
427
wire    [19:0]  conf_wb_ta4_out ;
428
wire    [19:0]  conf_wb_ta5_out ;
429
wire    [2:0]   conf_wb_img_ctrl0_out ;
430
wire    [2:0]   conf_wb_img_ctrl1_out ;
431
wire    [2:0]   conf_wb_img_ctrl2_out ;
432
wire    [2:0]   conf_wb_img_ctrl3_out ;
433
wire    [2:0]   conf_wb_img_ctrl4_out ;
434
wire    [2:0]   conf_wb_img_ctrl5_out ;
435
wire            conf_wb_err_rty_exp_out ;
436
wire            conf_wb_err_en_out ;
437
wire    [23:0]  conf_ccyc_addr_out ;
438
wire            conf_soft_res_out ;
439
wire            conf_serr_int_en_out ;
440
wire            conf_perr_int_en_out ;
441
wire            conf_err_int_en_out ;
442
wire            conf_int_prop_en_out ;
443
wire            conf_pci_err_pending_out ;
444
wire            conf_wb_err_pending_out ;
445
 
446
// PCI IO MUX OUTPUTS
447
wire        pci_mux_frame_out ;
448
wire        pci_mux_irdy_out ;
449
wire        pci_mux_devsel_out ;
450
wire        pci_mux_trdy_out ;
451
wire        pci_mux_stop_out ;
452
wire [3:0]  pci_mux_cbe_out ;
453
wire [31:0] pci_mux_ad_out ;
454
 
455
wire [31:0] pci_mux_ad_en_out ;
456
wire        pci_mux_frame_en_out ;
457
wire        pci_mux_irdy_en_out ;
458
wire        pci_mux_devsel_en_out ;
459
wire        pci_mux_trdy_en_out ;
460
wire        pci_mux_stop_en_out ;
461
wire [3:0]  pci_mux_cbe_en_out ;
462
 
463
wire        pci_mux_par_out ;
464
wire        pci_mux_par_en_out ;
465
wire        pci_mux_perr_out ;
466
wire        pci_mux_perr_en_out ;
467
wire        pci_mux_serr_out ;
468
wire        pci_mux_serr_en_out ;
469
 
470
wire        pci_mux_req_out ;
471
wire        pci_mux_req_en_out ;
472
 
473
// assign outputs to top level outputs
474
 
475
assign PCI_AD_EN_OUT       = pci_mux_ad_en_out ;
476
assign PCI_FRAMEn_EN_OUT   = pci_mux_frame_en_out ;
477
assign PCI_IRDYn_EN_OUT    = pci_mux_irdy_en_out ;
478
assign PCI_CBEn_EN_OUT     = pci_mux_cbe_en_out ;
479
 
480
assign PCI_PAR_OUT         =   pci_mux_par_out ;
481
assign PCI_PAR_EN_OUT      =   pci_mux_par_en_out ;
482
assign PCI_PERRn_OUT       =   pci_mux_perr_out ;
483
assign PCI_PERRn_EN_OUT    =   pci_mux_perr_en_out ;
484
assign PCI_SERRn_OUT       =   pci_mux_serr_out ;
485
assign PCI_SERRn_EN_OUT    =   pci_mux_serr_en_out ;
486
 
487
assign PCI_REQn_OUT        =   pci_mux_req_out ;
488
assign PCI_REQn_EN_OUT     =   pci_mux_req_en_out ;
489
 
490
assign PCI_TRDYn_EN_OUT    = pci_mux_trdy_en_out ;
491
assign PCI_DEVSELn_EN_OUT  = pci_mux_devsel_en_out ;
492
assign PCI_STOPn_EN_OUT    = pci_mux_stop_en_out ;
493
assign PCI_TRDYn_OUT       =  pci_mux_trdy_out ;
494
assign PCI_DEVSELn_OUT     = pci_mux_devsel_out ;
495
assign PCI_STOPn_OUT       = pci_mux_stop_out ;
496
 
497
assign PCI_AD_OUT          = pci_mux_ad_out ;
498
assign PCI_FRAMEn_OUT      = pci_mux_frame_out ;
499
assign PCI_IRDYn_OUT       = pci_mux_irdy_out ;
500
assign PCI_CBEn_OUT        = pci_mux_cbe_out ;
501
 
502
// duplicate output register's outputs
503
wire            out_bckp_frame_out ;
504
wire            out_bckp_irdy_out ;
505
wire            out_bckp_devsel_out ;
506
wire            out_bckp_trdy_out ;
507
wire            out_bckp_stop_out ;
508
wire    [3:0]   out_bckp_cbe_out ;
509
wire            out_bckp_cbe_en_out ;
510
wire    [31:0]  out_bckp_ad_out ;
511
wire            out_bckp_ad_en_out ;
512
wire            out_bckp_irdy_en_out ;
513
wire            out_bckp_frame_en_out ;
514
wire            out_bckp_tar_ad_en_out ;
515
wire            out_bckp_mas_ad_en_out ;
516
wire            out_bckp_trdy_en_out ;
517
 
518
wire            out_bckp_par_out ;
519
wire            out_bckp_par_en_out ;
520
wire            out_bckp_perr_out ;
521
wire            out_bckp_perr_en_out ;
522
wire            out_bckp_serr_out ;
523
wire            out_bckp_serr_en_out ;
524
 
525
 
526
// PARITY CHECKER OUTPUTS
527
wire    parchk_pci_par_out ;
528
wire    parchk_pci_par_en_out ;
529
wire    parchk_pci_perr_out ;
530
wire    parchk_pci_perr_en_out ;
531
wire    parchk_pci_serr_out ;
532
wire    parchk_pci_serr_en_out ;
533
wire    parchk_par_err_detect_out ;
534
wire    parchk_perr_mas_detect_out ;
535
wire    parchk_sig_serr_out ;
536
 
537
// input register outputs
538
wire            in_reg_gnt_out ;
539
wire            in_reg_frame_out ;
540
wire            in_reg_irdy_out ;
541
wire            in_reg_trdy_out ;
542
wire            in_reg_stop_out ;
543
wire            in_reg_devsel_out ;
544
wire                    in_reg_idsel_out ;
545
wire    [31:0]  in_reg_ad_out ;
546
wire    [3:0]   in_reg_cbe_out ;
547
 
548
 
549
 
550
 
551
 
552
 
553
 
554
 
555
 
556
 
557
 
558
 
559
 
560
 
561
// WISHBONE SLAVE UNIT INPUTS
562
wire    [31:0]  wbu_addr_in                     =   ADR_I ;
563
wire    [31:0]  wbu_sdata_in                    =   SDAT_I ;
564
wire            wbu_cyc_in                      =   CYC_I ;
565
wire            wbu_stb_in                      =   STB_I ;
566
wire            wbu_we_in                       =   WE_I ;
567
wire    [3:0]   wbu_sel_in                      =   SEL_I ;
568
wire            wbu_cab_in                      =   CAB_I ;
569
 
570
wire    [5:0]   wbu_map_in                      =   {
571
                                                     conf_wb_mem_io5_out,
572
                                                     conf_wb_mem_io4_out,
573
                                                     conf_wb_mem_io3_out,
574
                                                     conf_wb_mem_io2_out,
575
                                                     conf_wb_mem_io1_out,
576
                                                     conf_wb_mem_io0_out
577
                                                    } ;
578
 
579
wire    [5:0]   wbu_pref_en_in                  =   {
580
                                                     conf_wb_img_ctrl5_out[1],
581
                                                     conf_wb_img_ctrl4_out[1],
582
                                                     conf_wb_img_ctrl3_out[1],
583
                                                     conf_wb_img_ctrl2_out[1],
584
                                                     conf_wb_img_ctrl1_out[1],
585
                                                     conf_wb_img_ctrl0_out[1]
586
                                                    };
587
wire    [5:0]   wbu_mrl_en_in                   =   {
588
                                                     conf_wb_img_ctrl5_out[0],
589
                                                     conf_wb_img_ctrl4_out[0],
590
                                                     conf_wb_img_ctrl3_out[0],
591
                                                     conf_wb_img_ctrl2_out[0],
592
                                                     conf_wb_img_ctrl1_out[0],
593
                                                     conf_wb_img_ctrl0_out[0]
594
                                                    };
595
 
596
wire    [5:0]   wbu_at_en_in                    =   {
597
                                                     conf_wb_img_ctrl5_out[2],
598
                                                     conf_wb_img_ctrl4_out[2],
599
                                                     conf_wb_img_ctrl3_out[2],
600
                                                     conf_wb_img_ctrl2_out[2],
601
                                                     conf_wb_img_ctrl1_out[2],
602
                                                     conf_wb_img_ctrl0_out[2]
603
                                                    } ;
604
 
605
wire            wbu_pci_drcomp_pending_in       =   pciu_pci_drcomp_pending_out ;
606
wire            wbu_pciw_empty_in               =   pciu_pciw_fifo_empty_out ;
607
 
608
`ifdef HOST
609
    wire    [31:0]  wbu_conf_data_in            =   conf_w_data_out ;
610
`else
611
`ifdef GUEST
612
    wire    [31:0]  wbu_conf_data_in            =   conf_r_data_out ;
613
`endif
614
`endif
615
 
616
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in  =   conf_wb_ba0_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
617
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in  =   conf_wb_ba1_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
618
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in  =   conf_wb_ba2_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
619
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in  =   conf_wb_ba3_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
620
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in  =   conf_wb_ba4_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
621
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in  =   conf_wb_ba5_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
622
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in   =   conf_wb_am0_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
623
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in   =   conf_wb_am1_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
624
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in   =   conf_wb_am2_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
625
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in   =   conf_wb_am3_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
626
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in   =   conf_wb_am4_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
627
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in   =   conf_wb_am5_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
628
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in   =   conf_wb_ta0_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
629
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in   =   conf_wb_ta1_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
630
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in   =   conf_wb_ta2_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
631
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in   =   conf_wb_ta3_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
632
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in   =   conf_wb_ta4_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
633
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in   =   conf_wb_ta5_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
634
 
635
wire    [23:0]  wbu_ccyc_addr_in                        =   conf_ccyc_addr_out ;
636
wire            wbu_master_enable_in                    =   conf_pci_master_enable_out ;
637
wire    [7:0]   wbu_cache_line_size_in                  =   conf_cache_line_size_out ;
638
 
639
wire            wbu_pciif_gnt_in                        = PCI_GNTn_IN ;
640
wire            wbu_pciif_frame_in                      = in_reg_frame_out ;
641
wire            wbu_pciif_irdy_in                       = in_reg_irdy_out ;
642
wire            wbu_pciif_trdy_in                       = PCI_TRDYn_IN ;
643
wire            wbu_pciif_stop_in                       = PCI_STOPn_IN ;
644
wire            wbu_pciif_devsel_in                     = PCI_DEVSELn_IN ;
645
wire    [31:0]  wbu_pciif_ad_reg_in                     = in_reg_ad_out ;
646
wire            wbu_pciif_trdy_reg_in                   = in_reg_trdy_out ;
647
wire            wbu_pciif_stop_reg_in                   = in_reg_stop_out ;
648
wire            wbu_pciif_devsel_reg_in                 = in_reg_devsel_out ;
649
 
650
 
651
wire            wbu_err_pending_in                      = conf_wb_err_pending_out ;
652
wire    [7:0]   wbu_latency_tim_val_in                  = conf_latency_tim_out ;
653
 
654
wire            wbu_pciif_frame_en_in                   = out_bckp_frame_en_out ;
655
wire            wbu_pciif_frame_out_in                  = out_bckp_frame_out ;
656
 
657
WB_SLAVE_UNIT wishbone_slave_unit
658
(
659
    .reset_in                      (reset),
660
    .wb_clock_in                   (wb_clk),
661
    .pci_clock_in                  (pci_clk),
662
    .ADDR_I                        (wbu_addr_in),
663
    .SDATA_I                       (wbu_sdata_in),
664
    .SDATA_O                       (wbu_sdata_out),
665
    .CYC_I                         (wbu_cyc_in),
666
    .STB_I                         (wbu_stb_in),
667
    .WE_I                          (wbu_we_in),
668
    .SEL_I                         (wbu_sel_in),
669
    .ACK_O                         (wbu_ack_out),
670
    .RTY_O                         (wbu_rty_out),
671
    .ERR_O                         (wbu_err_out),
672
    .CAB_I                         (wbu_cab_in),
673
    .wbu_map_in                    (wbu_map_in),
674
    .wbu_pref_en_in                (wbu_pref_en_in),
675
    .wbu_mrl_en_in                 (wbu_mrl_en_in),
676
    .wbu_pci_drcomp_pending_in     (wbu_pci_drcomp_pending_in),
677
    .wbu_conf_data_in              (wbu_conf_data_in),
678
    .wbu_pciw_empty_in             (wbu_pciw_empty_in),
679
    .wbu_bar0_in                   (wbu_bar0_in),
680
    .wbu_bar1_in                   (wbu_bar1_in),
681
    .wbu_bar2_in                   (wbu_bar2_in),
682
    .wbu_bar3_in                   (wbu_bar3_in),
683
    .wbu_bar4_in                   (wbu_bar4_in),
684
    .wbu_bar5_in                   (wbu_bar5_in),
685
    .wbu_am0_in                    (wbu_am0_in),
686
    .wbu_am1_in                    (wbu_am1_in),
687
    .wbu_am2_in                    (wbu_am2_in),
688
    .wbu_am3_in                    (wbu_am3_in),
689
    .wbu_am4_in                    (wbu_am4_in),
690
    .wbu_am5_in                    (wbu_am5_in),
691
    .wbu_ta0_in                    (wbu_ta0_in),
692
    .wbu_ta1_in                    (wbu_ta1_in),
693
    .wbu_ta2_in                    (wbu_ta2_in),
694
    .wbu_ta3_in                    (wbu_ta3_in),
695
    .wbu_ta4_in                    (wbu_ta4_in),
696
    .wbu_ta5_in                    (wbu_ta5_in),
697
    .wbu_at_en_in                  (wbu_at_en_in),
698
    .wbu_ccyc_addr_in              (wbu_ccyc_addr_in),
699
    .wbu_master_enable_in          (wbu_master_enable_in),
700
    .wbu_cache_line_size_in        (wbu_cache_line_size_in),
701
    .wbu_pciif_gnt_in              (wbu_pciif_gnt_in),
702
    .wbu_pciif_frame_in            (wbu_pciif_frame_in),
703
    .wbu_pciif_frame_en_in         (wbu_pciif_frame_en_in),
704
    .wbu_pciif_frame_out_in        (wbu_pciif_frame_out_in),
705
    .wbu_pciif_irdy_in             (wbu_pciif_irdy_in),
706
    .wbu_pciif_trdy_in             (wbu_pciif_trdy_in),
707
    .wbu_pciif_stop_in             (wbu_pciif_stop_in),
708
    .wbu_pciif_devsel_in           (wbu_pciif_devsel_in),
709
    .wbu_pciif_ad_reg_in           (wbu_pciif_ad_reg_in),
710
    .wbu_pciif_req_out             (wbu_pciif_req_out),
711
    .wbu_pciif_frame_out           (wbu_pciif_frame_out),
712
    .wbu_pciif_frame_en_out        (wbu_pciif_frame_en_out),
713
    .wbu_pciif_frame_load_out      (wbu_pciif_frame_load_out),
714
    .wbu_pciif_irdy_out            (wbu_pciif_irdy_out),
715
    .wbu_pciif_irdy_en_out         (wbu_pciif_irdy_en_out),
716
    .wbu_pciif_ad_out              (wbu_pciif_ad_out),
717
    .wbu_pciif_ad_en_out           (wbu_pciif_ad_en_out),
718
    .wbu_pciif_cbe_out             (wbu_pciif_cbe_out),
719
    .wbu_pciif_cbe_en_out          (wbu_pciif_cbe_en_out),
720
    .wbu_err_addr_out              (wbu_err_addr_out),
721
    .wbu_err_bc_out                (wbu_err_bc_out),
722
    .wbu_err_signal_out            (wbu_err_signal_out),
723
    .wbu_err_source_out            (wbu_err_source_out),
724
    .wbu_err_rty_exp_out           (wbu_err_rty_exp_out),
725
    .wbu_err_pending_in            (wbu_err_pending_in),
726
    .wbu_tabort_rec_out            (wbu_tabort_rec_out),
727
    .wbu_mabort_rec_out            (wbu_mabort_rec_out),
728
    .wbu_conf_offset_out           (wbu_conf_offset_out),
729
    .wbu_conf_renable_out          (wbu_conf_renable_out),
730
    .wbu_conf_wenable_out          (wbu_conf_wenable_out),
731
    .wbu_conf_be_out               (wbu_conf_be_out),
732
    .wbu_conf_data_out             (wbu_conf_data_out),
733
    .wbu_del_read_comp_pending_out (wbu_del_read_comp_pending_out),
734
    .wbu_wbw_fifo_empty_out        (wbu_wbw_fifo_empty_out),
735
    .wbu_latency_tim_val_in        (wbu_latency_tim_val_in),
736
    .wbu_pciif_load_next_out       (wbu_pciif_load_next_out),
737
    .wbu_pciif_trdy_reg_in         (wbu_pciif_trdy_reg_in),
738
    .wbu_pciif_stop_reg_in         (wbu_pciif_stop_reg_in),
739
    .wbu_pciif_devsel_reg_in       (wbu_pciif_devsel_reg_in)
740
);
741
 
742
// PCI TARGET UNIT INPUTS
743
wire    [31:0]  pciu_mdata_in                                    =       MDAT_I ;
744
wire            pciu_ack_in                                             =       ACK_I ;
745
wire            pciu_rty_in                                             =       RTY_I ;
746
wire            pciu_err_in                                             =       ERR_I ;
747
 
748
wire    [5:0]   pciu_map_in                     =   {
749
                                                     conf_pci_mem_io5_out,
750
                                                     conf_pci_mem_io4_out,
751
                                                     conf_pci_mem_io3_out,
752
                                                     conf_pci_mem_io2_out,
753
                                                     conf_pci_mem_io1_out,
754
                                                     conf_pci_mem_io0_out
755
                                                    } ;
756
 
757
wire    [5:0]   pciu_pref_en_in                 =   {
758
                                                     conf_pci_img_ctrl5_out[0],
759
                                                     conf_pci_img_ctrl4_out[0],
760
                                                     conf_pci_img_ctrl3_out[0],
761
                                                     conf_pci_img_ctrl2_out[0],
762
                                                     conf_pci_img_ctrl1_out[0],
763
                                                     conf_pci_img_ctrl0_out[0]
764
                                                    };
765
 
766
wire    [5:0]   pciu_at_en_in                   =   {
767
                                                     conf_pci_img_ctrl5_out[1],
768
                                                     conf_pci_img_ctrl4_out[1],
769
                                                     conf_pci_img_ctrl3_out[1],
770
                                                     conf_pci_img_ctrl2_out[1],
771
                                                     conf_pci_img_ctrl1_out[1],
772
                                                     conf_pci_img_ctrl0_out[1]
773
                                                    } ;
774
 
775
wire            pciu_mem_enable_in                  =   conf_mem_space_enable_out ;
776
wire            pciu_io_enable_in                   =   conf_io_space_enable_out ;
777
 
778
wire            pciu_wbw_fifo_empty_in          =   wbu_wbw_fifo_empty_out ;
779
wire                    pciu_wbu_frame_en_in                    =       out_bckp_frame_en_out ;
780
 
781
`ifdef HOST
782
    wire    [31:0]  pciu_conf_data_in           =   conf_r_data_out ;
783
`else
784
`ifdef GUEST
785
    wire    [31:0]  pciu_conf_data_in           =   conf_w_data_out ;
786
`endif
787
`endif
788
 
789
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in =   conf_pci_ba0_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
790
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in =   conf_pci_ba1_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
791
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in =   conf_pci_ba2_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
792
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in =   conf_pci_ba3_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
793
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in =   conf_pci_ba4_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
794
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in =   conf_pci_ba5_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
795
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in  =   conf_pci_am0_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
796
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in  =   conf_pci_am1_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
797
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in  =   conf_pci_am2_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
798
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in  =   conf_pci_am3_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
799
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in  =   conf_pci_am4_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
800
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in  =   conf_pci_am5_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
801
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in  =   conf_pci_ta0_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
802
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in  =   conf_pci_ta1_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
803
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in  =   conf_pci_ta2_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
804
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in  =   conf_pci_ta3_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
805
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in  =   conf_pci_ta4_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
806
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in  =   conf_pci_ta5_out[19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)] ;
807
 
808
wire    [7:0]   pciu_cache_line_size_in                 =   conf_cache_line_size_out ;
809
 
810
wire            pciu_pciif_frame_in                             =       PCI_FRAMEn_IN ;
811
wire            pciu_pciif_irdy_in                              =       PCI_IRDYn_IN ;
812
wire            pciu_pciif_idsel_in                             =       PCI_IDSEL_IN ;
813
wire            pciu_pciif_frame_reg_in                 =       in_reg_frame_out ;
814
wire            pciu_pciif_irdy_reg_in                  =       in_reg_irdy_out ;
815
wire            pciu_pciif_idsel_reg_in                 =       in_reg_idsel_out ;
816
wire    [31:0]  pciu_pciif_ad_reg_in                     =       in_reg_ad_out ;
817
wire    [3:0]   pciu_pciif_cbe_reg_in                    =       in_reg_cbe_out ;
818
 
819
wire                    pciu_pciif_bckp_trdy_en_in                              =       out_bckp_trdy_en_out ;
820
wire                    pciu_pciif_bckp_devsel_in                               =       out_bckp_devsel_out ;
821
wire                    pciu_pciif_bckp_trdy_in                                 =       out_bckp_trdy_out ;
822
wire                    pciu_pciif_bckp_stop_in                                 =       out_bckp_stop_out ;
823
 
824
 
825
wire                    pciu_err_pending_in                                             =       conf_pci_err_pending_out ;
826
 
827
PCI_TARGET_UNIT pci_target_unit
828
(
829
    .reset_in                       (reset),
830
    .wb_clock_in                    (wb_clk),
831
    .pci_clock_in                   (pci_clk),
832
    .ADR_O                          (pciu_adr_out),
833
    .MDATA_O                                            (pciu_mdata_out),
834
    .MDATA_I                                            (pciu_mdata_in),
835
    .CYC_O                                                      (pciu_cyc_out),
836
    .STB_O                                                      (pciu_stb_out),
837
    .WE_O                                                       (pciu_we_out),
838
    .SEL_O                                                      (pciu_sel_out),
839
    .ACK_I                                                      (pciu_ack_in),
840
    .RTY_I                                                      (pciu_rty_in),
841
    .ERR_I                                                      (pciu_err_in),
842
    .CAB_O                                                      (pciu_cab_out),
843
        .pciu_mem_enable_in                             (pciu_mem_enable_in),
844
        .pciu_io_enable_in                              (pciu_io_enable_in),
845
    .pciu_map_in                                        (pciu_map_in),
846
    .pciu_pref_en_in                            (pciu_pref_en_in),
847
    .pciu_conf_data_in                          (pciu_conf_data_in),
848
    .pciu_wbw_fifo_empty_in                     (pciu_wbw_fifo_empty_in),
849
    .pciu_wbu_frame_en_in                       (pciu_wbu_frame_en_in),
850
        .pciu_bar0_in                                   (pciu_bar0_in),
851
        .pciu_bar1_in                                   (pciu_bar1_in),
852
        .pciu_bar2_in                                   (pciu_bar2_in),
853
        .pciu_bar3_in                                   (pciu_bar3_in),
854
        .pciu_bar4_in                                   (pciu_bar4_in),
855
        .pciu_bar5_in                                   (pciu_bar5_in),
856
        .pciu_am0_in                                    (pciu_am0_in),
857
        .pciu_am1_in                                    (pciu_am1_in),
858
        .pciu_am2_in                                    (pciu_am2_in),
859
        .pciu_am3_in                                    (pciu_am3_in),
860
        .pciu_am4_in                                    (pciu_am4_in),
861
        .pciu_am5_in                                    (pciu_am5_in),
862
        .pciu_ta0_in                                    (pciu_ta0_in),
863
        .pciu_ta1_in                                    (pciu_ta1_in),
864
        .pciu_ta2_in                                    (pciu_ta2_in),
865
        .pciu_ta3_in                                    (pciu_ta3_in),
866
        .pciu_ta4_in                                    (pciu_ta4_in),
867
        .pciu_ta5_in                                    (pciu_ta5_in),
868
        .pciu_at_en_in                                  (pciu_at_en_in),
869
        .pciu_cache_line_size_in                (pciu_cache_line_size_in),
870
        .pciu_pciif_frame_in                    (pciu_pciif_frame_in),
871
        .pciu_pciif_irdy_in                             (pciu_pciif_irdy_in),
872
        .pciu_pciif_idsel_in                    (pciu_pciif_idsel_in),
873
        .pciu_pciif_frame_reg_in                (pciu_pciif_frame_reg_in),
874
        .pciu_pciif_irdy_reg_in                 (pciu_pciif_irdy_reg_in),
875
        .pciu_pciif_idsel_reg_in                (pciu_pciif_idsel_reg_in),
876
        .pciu_pciif_ad_reg_in                   (pciu_pciif_ad_reg_in),
877
        .pciu_pciif_cbe_reg_in                  (pciu_pciif_cbe_reg_in),
878
        .pciu_pciif_bckp_trdy_en_in             (pciu_pciif_bckp_trdy_en_in),
879
        .pciu_pciif_bckp_devsel_in              (pciu_pciif_bckp_devsel_in),
880
        .pciu_pciif_bckp_trdy_in                (pciu_pciif_bckp_trdy_in),
881
        .pciu_pciif_bckp_stop_in                (pciu_pciif_bckp_stop_in),
882
        .pciu_pciif_trdy_out                    (pciu_pciif_trdy_out),
883
        .pciu_pciif_stop_out                    (pciu_pciif_stop_out),
884
        .pciu_pciif_devsel_out                  (pciu_pciif_devsel_out),
885
        .pciu_pciif_trdy_en_out                 (pciu_pciif_trdy_en_out),
886
        .pciu_pciif_stop_en_out                 (pciu_pciif_stop_en_out),
887
        .pciu_pciif_devsel_en_out               (pciu_pciif_devsel_en_out),
888
        .pciu_pciif_target_load_out             (pciu_pciif_target_load_out),
889
        .pciu_pciif_ad_out                              (pciu_pciif_ad_out),
890
        .pciu_pciif_ad_en_out                   (pciu_pciif_ad_en_out),
891
        .pciu_pciif_tabort_set_out              (pciu_pciif_tabort_set_out),
892
    .pciu_err_addr_out                          (pciu_err_addr_out),
893
    .pciu_err_bc_out                            (pciu_err_bc_out),
894
    .pciu_err_data_out                          (pciu_err_data_out),
895
        .pciu_err_be_out                                (pciu_err_be_out),
896
    .pciu_err_signal_out                        (pciu_err_signal_out),
897
    .pciu_err_source_out                        (pciu_err_source_out),
898
    .pciu_err_rty_exp_out                       (pciu_err_rty_exp_out),
899
    .pciu_err_pending_in                        (pciu_err_pending_in),
900
    .pciu_conf_offset_out                       (pciu_conf_offset_out),
901
    .pciu_conf_renable_out                      (pciu_conf_renable_out),
902
    .pciu_conf_wenable_out                      (pciu_conf_wenable_out),
903
    .pciu_conf_be_out                           (pciu_conf_be_out),
904
    .pciu_conf_data_out                         (pciu_conf_data_out),
905
    .pciu_conf_select_out                       (pciu_conf_select_out),
906
    .pciu_pci_drcomp_pending_out        (pciu_pci_drcomp_pending_out),
907
    .pciu_pciw_fifo_empty_out           (pciu_pciw_fifo_empty_out)
908
);
909
 
910
 
911
// CONFIGURATION SPACE INPUTS
912
`ifdef HOST
913
 
914
    wire    [11:0]  conf_w_addr_in          =       wbu_conf_offset_out ;
915
    wire    [31:0]  conf_w_data_in          =       wbu_conf_data_out ;
916
    wire            conf_w_we_in            =       wbu_conf_wenable_out ;
917
    wire            conf_w_re_in            =       wbu_conf_renable_out ;
918
    wire    [3:0]   conf_w_be_in            =       wbu_conf_be_out     ;
919
    wire            conf_w_clock            =       wb_clk ;
920
    wire    [11:0]  conf_r_addr_in          =       pciu_conf_offset_out ;
921
    wire            conf_r_re_in            =       pciu_conf_renable_out ;
922
 
923
`else
924
`ifdef GUEST
925
 
926
    wire    [11:0]  conf_r_addr_in          =       wbu_conf_offset_out ;
927
    wire            conf_r_re_in            =       wbu_conf_renable_out ;
928
    wire            conf_w_clock            =       pci_clk ;
929
    wire    [11:0]  conf_w_addr_in          =       pciu_conf_offset_out ;
930
    wire    [31:0]  conf_w_data_in          =       pciu_conf_data_out ;
931
    wire            conf_w_we_in            =       pciu_conf_wenable_out ;
932
    wire            conf_w_re_in            =       pciu_conf_renable_out ;
933
    wire    [3:0]   conf_w_be_in            =       pciu_conf_be_out ;
934
 
935
`endif
936
`endif
937
 
938
 
939
wire            conf_perr_in                            =   parchk_par_err_detect_out ;
940
wire            conf_serr_in                            =   parchk_sig_serr_out ;
941
wire            conf_master_abort_recv_in               =   wbu_mabort_rec_out ;
942
wire            conf_target_abort_recv_in               =   wbu_tabort_rec_out ;
943
wire            conf_target_abort_set_in                =   pciu_pciif_tabort_set_out ;
944
 
945
wire            conf_master_data_par_err_in             =   parchk_perr_mas_detect_out ;
946
 
947
wire    [3:0]   conf_pci_err_be_in      = pciu_err_be_out ;
948
wire    [3:0]   conf_pci_err_bc_in      = pciu_err_bc_out;
949
wire            conf_pci_err_rty_exp_in = pciu_err_rty_exp_out ;
950
wire            conf_pci_err_sig_in     = pciu_err_signal_out ;
951
wire    [31:0]  conf_pci_err_addr_in    = pciu_err_addr_out ;
952
wire    [31:0]  conf_pci_err_data_in    = pciu_err_data_out ;
953
 
954
wire    [3:0]   conf_wb_err_be_in       =   out_bckp_cbe_out ;
955
wire    [3:0]   conf_wb_err_bc_in       =   wbu_err_bc_out ;
956
wire            conf_wb_err_rty_exp_in  =   wbu_err_rty_exp_out ;
957
wire            conf_wb_err_es_in       =   wbu_err_source_out ;
958
wire            conf_wb_err_sig_in      =   wbu_err_signal_out ;
959
wire    [31:0]  conf_wb_err_addr_in     =   wbu_err_addr_out ;
960
wire    [31:0]  conf_wb_err_data_in     =   out_bckp_ad_out ;
961
 
962
/////////////////////////////////////////////////////////////////////////////////////////////////
963
// Interrupts not implemented yet
964
wire            conf_isr_int_prop_in    =   1'b0 ;
965
wire            conf_isr_err_int_in     =   1'b0 ;
966
wire            conf_par_err_int_in     =   1'b0 ;
967
wire            conf_sys_err_int_in     =   1'b0 ;
968
/////////////////////////////////////////////////////////////////////////////////////////////////
969
 
970
CONF_SPACE configuration    (
971
                                .reset                  (reset),
972
                                .pci_clk                (pci_clk),
973
                                .wb_clk                 (wb_clk),
974
                                .w_conf_address_in      (conf_w_addr_in),
975
                                .w_conf_data_in         (conf_w_data_in),
976
                                .w_conf_data_out        (conf_w_data_out),
977
                                .r_conf_address_in      (conf_r_addr_in),
978
                                .r_conf_data_out        (conf_r_data_out),
979
                                                    .w_we                   (conf_w_we_in),
980
                                .w_re                   (conf_w_re_in),
981
                                .r_re                   (conf_r_re_in),
982
                                .w_byte_en              (conf_w_be_in),
983
                                .w_clock                (conf_w_clock),
984
                                                    .serr_enable            (conf_serr_enable_out),
985
                                .perr_response          (conf_perr_response_out),
986
                                .pci_master_enable      (conf_pci_master_enable_out),
987
                                .memory_space_enable    (conf_mem_space_enable_out),
988
                                .io_space_enable        (conf_io_space_enable_out),
989
                                                    .perr_in                (conf_perr_in),
990
                                .serr_in                (conf_serr_in),
991
                                .master_abort_recv      (conf_master_abort_recv_in),
992
                                .target_abort_recv      (conf_target_abort_recv_in),
993
                                .target_abort_set       (conf_target_abort_set_in),
994
                                .master_data_par_err    (conf_master_data_par_err_in),
995
                                                    .cache_line_size        (conf_cache_line_size_out),
996
                                .latency_tim            (conf_latency_tim_out),
997
                                .int_pin                (conf_int_pin_out),
998
                                                    .pci_base_addr0         (conf_pci_ba0_out),
999
                                .pci_base_addr1         (conf_pci_ba1_out),
1000
                                .pci_base_addr2         (conf_pci_ba2_out),
1001
                                .pci_base_addr3         (conf_pci_ba3_out),
1002
                                .pci_base_addr4         (conf_pci_ba4_out),
1003
                                .pci_base_addr5         (conf_pci_ba5_out),
1004
                                                    .pci_memory_io0         (conf_pci_mem_io0_out),
1005
                                .pci_memory_io1         (conf_pci_mem_io1_out),
1006
                                .pci_memory_io2         (conf_pci_mem_io2_out),
1007
                                .pci_memory_io3         (conf_pci_mem_io3_out),
1008
                                .pci_memory_io4         (conf_pci_mem_io4_out),
1009
                                .pci_memory_io5         (conf_pci_mem_io5_out),
1010
                                                    .pci_addr_mask0         (conf_pci_am0_out),
1011
                                .pci_addr_mask1         (conf_pci_am1_out),
1012
                                .pci_addr_mask2         (conf_pci_am2_out),
1013
                                .pci_addr_mask3         (conf_pci_am3_out),
1014
                                .pci_addr_mask4         (conf_pci_am4_out),
1015
                                .pci_addr_mask5         (conf_pci_am5_out),
1016
                                                    .pci_tran_addr0         (conf_pci_ta0_out),
1017
                                .pci_tran_addr1         (conf_pci_ta1_out),
1018
                                .pci_tran_addr2         (conf_pci_ta2_out),
1019
                                .pci_tran_addr3         (conf_pci_ta3_out),
1020
                                .pci_tran_addr4         (conf_pci_ta4_out),
1021
                                .pci_tran_addr5         (conf_pci_ta5_out),
1022
                                                    .pci_img_ctrl0          (conf_pci_img_ctrl0_out),
1023
                                .pci_img_ctrl1          (conf_pci_img_ctrl1_out),
1024
                                .pci_img_ctrl2          (conf_pci_img_ctrl2_out),
1025
                                .pci_img_ctrl3          (conf_pci_img_ctrl3_out),
1026
                                .pci_img_ctrl4          (conf_pci_img_ctrl4_out),
1027
                                .pci_img_ctrl5          (conf_pci_img_ctrl5_out),
1028
                                                    .pci_error_be           (conf_pci_err_be_in),
1029
                                .pci_error_bc           (conf_pci_err_bc_in),
1030
                                .pci_error_rty_exp      (conf_pci_err_rty_exp_in),
1031
                                .pci_error_sig          (conf_pci_err_sig_in),
1032
                                .pci_error_addr         (conf_pci_err_addr_in),
1033
                                .pci_error_data         (conf_pci_err_data_in),
1034
                                .pci_error_rty_exp_set  (conf_pci_err_rty_exp_out),
1035
                                                    .pci_error_en           (conf_pci_error_en_out),
1036
                                                    .wb_base_addr0          (conf_wb_ba0_out),
1037
                                .wb_base_addr1          (conf_wb_ba1_out),
1038
                                .wb_base_addr2          (conf_wb_ba2_out),
1039
                                .wb_base_addr3          (conf_wb_ba3_out),
1040
                                .wb_base_addr4          (conf_wb_ba4_out),
1041
                                .wb_base_addr5          (conf_wb_ba5_out),
1042
                                                    .wb_memory_io0          (conf_wb_mem_io0_out),
1043
                                .wb_memory_io1          (conf_wb_mem_io1_out),
1044
                                .wb_memory_io2          (conf_wb_mem_io2_out),
1045
                                .wb_memory_io3          (conf_wb_mem_io3_out),
1046
                                .wb_memory_io4          (conf_wb_mem_io4_out),
1047
                                .wb_memory_io5          (conf_wb_mem_io5_out),
1048
                                                    .wb_addr_mask0          (conf_wb_am0_out),
1049
                                .wb_addr_mask1          (conf_wb_am1_out),
1050
                                .wb_addr_mask2          (conf_wb_am2_out),
1051
                                .wb_addr_mask3          (conf_wb_am3_out),
1052
                                .wb_addr_mask4          (conf_wb_am4_out),
1053
                                .wb_addr_mask5          (conf_wb_am5_out),
1054
                                                    .wb_tran_addr0          (conf_wb_ta0_out),
1055
                                .wb_tran_addr1          (conf_wb_ta1_out),
1056
                                .wb_tran_addr2          (conf_wb_ta2_out),
1057
                                .wb_tran_addr3          (conf_wb_ta3_out),
1058
                                .wb_tran_addr4          (conf_wb_ta4_out),
1059
                                .wb_tran_addr5          (conf_wb_ta5_out),
1060
                                                    .wb_img_ctrl0           (conf_wb_img_ctrl0_out),
1061
                                .wb_img_ctrl1           (conf_wb_img_ctrl1_out),
1062
                                .wb_img_ctrl2           (conf_wb_img_ctrl2_out),
1063
                                .wb_img_ctrl3           (conf_wb_img_ctrl3_out),
1064
                                .wb_img_ctrl4           (conf_wb_img_ctrl4_out),
1065
                                .wb_img_ctrl5           (conf_wb_img_ctrl5_out),
1066
                                                    .wb_error_be            (conf_wb_err_be_in),
1067
                                .wb_error_bc            (conf_wb_err_bc_in),
1068
                                .wb_error_rty_exp       (conf_wb_err_rty_exp_in),
1069
                                .wb_error_es            (conf_wb_err_es_in),
1070
                                .wb_error_sig           (conf_wb_err_sig_in),
1071
                                .wb_error_addr          (conf_wb_err_addr_in),
1072
                                .wb_error_data          (conf_wb_err_data_in),
1073
                                .wb_error_rty_exp_set   (conf_wb_err_rty_exp_out),
1074
                                                    .wb_error_en            (conf_wb_err_en_out),
1075
                                                    .config_addr            (conf_ccyc_addr_out),
1076
                                .icr_soft_res           (conf_soft_res_out),
1077
                                .serr_int_en            (conf_serr_int_en_out),
1078
                                .perr_int_en            (conf_perr_int_en_out),
1079
                                .error_int_en           (conf_err_int_en_out),
1080
                                .int_prop_en            (conf_int_prop_en_out),
1081
                                                    .isr_int_prop           (conf_isr_int_prop_in),
1082
                                .isr_err_int            (conf_isr_err_int_in),
1083
                                .isr_par_err_int        (conf_par_err_int_in),
1084
                                .isr_sys_err_int        (conf_sys_err_int_in),
1085
                                .pci_error_sig_set      (conf_pci_err_pending_out),
1086
                                .wb_error_sig_set       (conf_wb_err_pending_out)
1087
                            ) ;
1088
 
1089
// pci data io multiplexer inputs
1090
wire            pci_mux_tar_ad_en_in        = pciu_pciif_ad_en_out ;
1091
wire            pci_mux_tar_ad_en_reg_in    = out_bckp_tar_ad_en_out ;
1092
wire    [31:0]  pci_mux_tar_ad_in           = pciu_pciif_ad_out ;
1093
wire            pci_mux_devsel_in           = pciu_pciif_devsel_out ;
1094
wire            pci_mux_devsel_en_in        = pciu_pciif_devsel_en_out ;
1095
wire            pci_mux_trdy_in             = pciu_pciif_trdy_out ;
1096
wire            pci_mux_trdy_en_in          = pciu_pciif_trdy_en_out ;
1097
wire            pci_mux_stop_in             = pciu_pciif_stop_out ;
1098
wire            pci_mux_stop_en_in          = pciu_pciif_stop_en_out ;
1099
wire            pci_mux_tar_load_in         = pciu_pciif_target_load_out ;
1100
 
1101
wire            pci_mux_mas_ad_en_in    = wbu_pciif_ad_en_out ;
1102
wire    [31:0]  pci_mux_mas_ad_in       = wbu_pciif_ad_out ;
1103
 
1104
wire            pci_mux_frame_in            = wbu_pciif_frame_out ;
1105
wire            pci_mux_frame_en_in         = wbu_pciif_frame_en_out ;
1106
wire            pci_mux_irdy_in             = wbu_pciif_irdy_out;
1107
wire            pci_mux_irdy_en_in          = wbu_pciif_irdy_en_out;
1108
wire            pci_mux_mas_load_in         = wbu_pciif_load_next_out ;
1109
wire [3:0]      pci_mux_cbe_in              = wbu_pciif_cbe_out ;
1110
wire            pci_mux_cbe_en_in           = wbu_pciif_cbe_en_out ;
1111
 
1112
wire            pci_mux_par_in              = parchk_pci_par_out ;
1113
wire            pci_mux_par_en_in           = parchk_pci_par_en_out ;
1114
wire            pci_mux_perr_in             = parchk_pci_perr_out ;
1115
wire            pci_mux_perr_en_in          = parchk_pci_perr_en_out ;
1116
wire            pci_mux_serr_in             = parchk_pci_serr_out ;
1117
wire            pci_mux_serr_en_in          = parchk_pci_serr_en_out;
1118
 
1119
wire            pci_mux_req_in              =   wbu_pciif_req_out ;
1120
wire            pci_mux_frame_load_in       =   wbu_pciif_frame_load_out ;
1121
 
1122
PCI_IO_MUX pci_io_mux
1123
(
1124
    .reset_in           (reset),
1125
    .clk_in             (pci_clk),
1126
    .frame_in           (pci_mux_frame_in),
1127
    .frame_en_in        (pci_mux_frame_en_in),
1128
    .frame_load_in      (pci_mux_frame_load_in),
1129
    .irdy_in            (pci_mux_irdy_in),
1130
    .irdy_en_in         (pci_mux_irdy_en_in),
1131
    .devsel_in          (pci_mux_devsel_in),
1132
    .devsel_en_in       (pci_mux_devsel_en_in),
1133
    .trdy_in            (pci_mux_trdy_in),
1134
    .trdy_en_in         (pci_mux_trdy_en_in),
1135
    .stop_in            (pci_mux_stop_in),
1136
    .stop_en_in         (pci_mux_stop_en_in),
1137
    .master_load_in     (pci_mux_mas_load_in),
1138
    .target_load_in     (pci_mux_tar_load_in),
1139
    .cbe_in             (pci_mux_cbe_in),
1140
    .cbe_en_in          (pci_mux_cbe_en_in),
1141
    .mas_ad_in          (pci_mux_mas_ad_in),
1142
    .tar_ad_in          (pci_mux_tar_ad_in),
1143
 
1144
    .mas_ad_en_in       (pci_mux_mas_ad_en_in),
1145
    .tar_ad_en_in       (pci_mux_tar_ad_en_in),
1146
    .tar_ad_en_reg_in   (pci_mux_tar_ad_en_reg_in),
1147
 
1148
    .par_in             (pci_mux_par_in),
1149
    .par_en_in          (pci_mux_par_en_in),
1150
    .perr_in            (pci_mux_perr_in),
1151
    .perr_en_in         (pci_mux_perr_en_in),
1152
    .serr_in            (pci_mux_serr_in),
1153
    .serr_en_in         (pci_mux_serr_en_in),
1154
 
1155
    .frame_en_out       (pci_mux_frame_en_out),
1156
    .irdy_en_out        (pci_mux_irdy_en_out),
1157
    .devsel_en_out      (pci_mux_devsel_en_out),
1158
    .trdy_en_out        (pci_mux_trdy_en_out),
1159
    .stop_en_out        (pci_mux_stop_en_out),
1160
    .cbe_en_out         (pci_mux_cbe_en_out),
1161
    .ad_en_out          (pci_mux_ad_en_out),
1162
 
1163
    .frame_out          (pci_mux_frame_out),
1164
    .irdy_out           (pci_mux_irdy_out),
1165
    .devsel_out         (pci_mux_devsel_out),
1166
    .trdy_out           (pci_mux_trdy_out),
1167
    .stop_out           (pci_mux_stop_out),
1168
    .cbe_out            (pci_mux_cbe_out),
1169
    .ad_out             (pci_mux_ad_out),
1170
 
1171
    .par_out             (pci_mux_par_out),
1172
    .par_en_out          (pci_mux_par_en_out),
1173
    .perr_out            (pci_mux_perr_out),
1174
    .perr_en_out         (pci_mux_perr_en_out),
1175
    .serr_out            (pci_mux_serr_out),
1176
    .serr_en_out         (pci_mux_serr_en_out),
1177
    .req_in              (pci_mux_req_in),
1178
    .req_out             (pci_mux_req_out),
1179
    .req_en_out          (pci_mux_req_en_out)
1180
);
1181
 
1182
CUR_OUT_REG output_backup
1183
(
1184
    .reset_in           (reset),
1185
    .clk_in             (pci_clk),
1186
    .frame_in           (pci_mux_frame_in),
1187
    .frame_en_in        (pci_mux_frame_en_in),
1188
    .frame_load_in      (pci_mux_frame_load_in),
1189
    .irdy_in            (pci_mux_irdy_in),
1190
    .irdy_en_in         (pci_mux_irdy_en_in),
1191
    .devsel_in          (pci_mux_devsel_in),
1192
    .trdy_in            (pci_mux_trdy_in),
1193
    .trdy_en_in         (pci_mux_trdy_en_in),
1194
    .stop_in            (pci_mux_stop_in),
1195
    .master_load_in     (pci_mux_mas_load_in),
1196
    .target_load_in     (pci_mux_tar_load_in),
1197
    .cbe_in             (pci_mux_cbe_in),
1198
    .cbe_en_in          (pci_mux_cbe_en_in),
1199
    .mas_ad_in          (pci_mux_mas_ad_in),
1200
    .tar_ad_in          (pci_mux_tar_ad_in),
1201
 
1202
    .mas_ad_en_in       (pci_mux_mas_ad_en_in),
1203
    .tar_ad_en_in       (pci_mux_tar_ad_en_in),
1204
 
1205
    .par_in             (pci_mux_par_in),
1206
    .par_en_in          (pci_mux_par_en_in),
1207
    .perr_in            (pci_mux_perr_in),
1208
    .perr_en_in         (pci_mux_perr_en_in),
1209
    .serr_in            (pci_mux_serr_in),
1210
    .serr_en_in         (pci_mux_serr_en_in),
1211
 
1212
    .frame_out          (out_bckp_frame_out),
1213
    .frame_en_out       (out_bckp_frame_en_out),
1214
    .irdy_out           (out_bckp_irdy_out),
1215
    .irdy_en_out        (out_bckp_irdy_en_out),
1216
    .devsel_out         (out_bckp_devsel_out),
1217
    .trdy_out           (out_bckp_trdy_out),
1218
    .trdy_en_out        (out_bckp_trdy_en_out),
1219
    .stop_out           (out_bckp_stop_out),
1220
    .cbe_out            (out_bckp_cbe_out),
1221
    .ad_out             (out_bckp_ad_out),
1222
    .ad_en_out          (out_bckp_ad_en_out),
1223
    .cbe_en_out         (out_bckp_cbe_en_out),
1224
    .tar_ad_en_out      (out_bckp_tar_ad_en_out),
1225
    .mas_ad_en_out      (out_bckp_mas_ad_en_out),
1226
 
1227
    .par_out            (out_bckp_par_out),
1228
    .par_en_out         (out_bckp_par_en_out),
1229
    .perr_out           (out_bckp_perr_out),
1230
    .perr_en_out        (out_bckp_perr_en_out),
1231
    .serr_out           (out_bckp_serr_out),
1232
    .serr_en_out        (out_bckp_serr_en_out)
1233
) ;
1234
 
1235
// PARITY CHECKER INPUTS
1236
wire            parchk_pci_par_in               =   PCI_PAR_IN ;
1237
wire            parchk_pci_perr_in              =   PCI_PERRn_IN ;
1238
wire            parchk_pci_frame_reg_in         =   in_reg_frame_out ;
1239
wire            parchk_pci_frame_en_in          =   out_bckp_frame_en_out ;
1240
wire            parchk_pci_irdy_en_in           =   out_bckp_irdy_en_out ;
1241
wire            parchk_pci_irdy_reg_in          =   in_reg_irdy_out ;
1242
wire            parchk_pci_trdy_reg_in          =   in_reg_trdy_out ;
1243
 
1244
 
1245
wire            parchk_pci_trdy_en_in           =   out_bckp_trdy_en_out ;
1246
 
1247
 
1248
wire    [31:0]  parchk_pci_ad_out_in            =   out_bckp_ad_out ;
1249
wire    [31:0]  parchk_pci_ad_reg_in            =   in_reg_ad_out ;
1250
wire    [3:0]   parchk_pci_cbe_in_in            =   PCI_CBEn_IN ;
1251
wire    [3:0]   parchk_pci_cbe_out_in           =   out_bckp_cbe_out ;
1252
wire            parchk_pci_ad_en_in             =   out_bckp_ad_en_out ;
1253
wire            parchk_par_err_response_in      =   conf_perr_response_out ;
1254
wire            parchk_serr_enable_in           =   conf_serr_enable_out ;
1255
 
1256
wire            parchk_pci_perr_out_in          =   out_bckp_perr_out ;
1257
wire            parchk_pci_serr_en_in           =   out_bckp_serr_en_out ;
1258
wire            parchk_pci_serr_out_in          =   out_bckp_serr_out ;
1259
wire            parchk_pci_cbe_en_in            =   out_bckp_cbe_en_out ;
1260
 
1261
wire            parchk_pci_par_en_in            =   out_bckp_par_en_out ;
1262
 
1263
PCI_PARITY_CHECK parity_checker
1264
(
1265
    .reset_in               (reset),
1266
    .clk_in                 (pci_clk),
1267
    .pci_par_in             (parchk_pci_par_in),
1268
    .pci_par_out            (parchk_pci_par_out),
1269
    .pci_par_en_out         (parchk_pci_par_en_out),
1270
    .pci_par_en_in          (parchk_pci_par_en_in),
1271
    .pci_perr_in            (parchk_pci_perr_in),
1272
    .pci_perr_out           (parchk_pci_perr_out),
1273
    .pci_perr_en_out        (parchk_pci_perr_en_out),
1274
    .pci_perr_out_in        (parchk_pci_perr_out_in),
1275
    .pci_serr_out           (parchk_pci_serr_out),
1276
    .pci_serr_out_in        (parchk_pci_serr_out_in),
1277
    .pci_serr_en_out        (parchk_pci_serr_en_out),
1278
    .pci_serr_en_in         (parchk_pci_serr_en_in),
1279
    .pci_frame_reg_in       (parchk_pci_frame_reg_in),
1280
    .pci_frame_en_in        (parchk_pci_frame_en_in),
1281
    .pci_irdy_en_in         (parchk_pci_irdy_en_in),
1282
    .pci_irdy_reg_in        (parchk_pci_irdy_reg_in),
1283
    .pci_trdy_reg_in        (parchk_pci_trdy_reg_in),
1284
    .pci_trdy_en_in         (parchk_pci_trdy_en_in),
1285
    .pci_ad_out_in          (parchk_pci_ad_out_in),
1286
    .pci_ad_reg_in          (parchk_pci_ad_reg_in),
1287
    .pci_cbe_in_in          (parchk_pci_cbe_in_in),
1288
    .pci_cbe_en_in          (parchk_pci_cbe_en_in),
1289
    .pci_cbe_out_in         (parchk_pci_cbe_out_in),
1290
    .pci_ad_en_in           (parchk_pci_ad_en_in),
1291
    .par_err_response_in    (parchk_par_err_response_in),
1292
    .par_err_detect_out     (parchk_par_err_detect_out),
1293
    .perr_mas_detect_out    (parchk_perr_mas_detect_out),
1294
    .serr_enable_in         (parchk_serr_enable_in),
1295
    .sig_serr_out           (parchk_sig_serr_out)
1296
 
1297
);
1298
 
1299
wire            in_reg_gnt_in    = PCI_GNTn_IN ;
1300
wire            in_reg_frame_in  = PCI_FRAMEn_IN ;
1301
wire            in_reg_irdy_in   = PCI_IRDYn_IN ;
1302
wire            in_reg_trdy_in   = PCI_TRDYn_IN ;
1303
wire            in_reg_stop_in   = PCI_STOPn_IN ;
1304
wire            in_reg_devsel_in = PCI_DEVSELn_IN ;
1305
wire                    in_reg_idsel_in  = PCI_IDSEL_IN ;
1306
wire    [31:0]  in_reg_ad_in     = PCI_AD_IN ;
1307
wire    [3:0]   in_reg_cbe_in    = PCI_CBEn_IN ;
1308
 
1309
PCI_IN_REG input_register
1310
(
1311
    .reset_in       (reset),
1312
    .clk_in         (pci_clk),
1313
 
1314
    .pci_gnt_in     (in_reg_gnt_in),
1315
    .pci_frame_in   (in_reg_frame_in),
1316
    .pci_irdy_in    (in_reg_irdy_in),
1317
    .pci_trdy_in    (in_reg_trdy_in),
1318
    .pci_stop_in    (in_reg_stop_in),
1319
    .pci_devsel_in  (in_reg_devsel_in),
1320
    .pci_idsel_in       (in_reg_idsel_in),
1321
    .pci_ad_in      (in_reg_ad_in),
1322
    .pci_cbe_in     (in_reg_cbe_in),
1323
 
1324
    .pci_gnt_reg_out    (in_reg_gnt_out),
1325
    .pci_frame_reg_out  (in_reg_frame_out),
1326
    .pci_irdy_reg_out   (in_reg_irdy_out),
1327
    .pci_trdy_reg_out   (in_reg_trdy_out),
1328
    .pci_stop_reg_out   (in_reg_stop_out),
1329
    .pci_devsel_reg_out (in_reg_devsel_out),
1330
    .pci_idsel_reg_out  (in_reg_idsel_out),
1331
    .pci_ad_reg_out     (in_reg_ad_out),
1332
    .pci_cbe_reg_out    (in_reg_cbe_out)
1333
);
1334
 
1335
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.