OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_6/] [rtl/] [verilog/] [pci_wb_slave_unit.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 77 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "wb_slave_unit.v"                                 ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45
// Revision 1.8  2002/10/18 03:36:37  tadejm
46
// Changed wrong signal name scanb_sen into scanb_en.
47
//
48
// Revision 1.7  2002/10/17 22:49:22  tadejm
49
// Changed BIST signals for RAMs.
50
//
51
// Revision 1.6  2002/10/11 10:09:01  mihad
52
// Added additional testcase and changed rst name in BIST to trst
53
//
54
// Revision 1.5  2002/10/08 17:17:06  mihad
55
// Added BIST signals for RAMs.
56
//
57
// Revision 1.4  2002/09/25 15:53:52  mihad
58
// Removed all logic from asynchronous reset network
59
//
60
// Revision 1.3  2002/02/01 15:25:13  mihad
61
// Repaired a few bugs, updated specification, added test bench files and design document
62
//
63
// Revision 1.2  2001/10/05 08:14:30  mihad
64
// Updated all files with inclusion of timescale file for simulation purposes.
65
//
66
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
67
// New project directory structure
68
//
69
//
70
 
71
// Module instantiates and connects other modules lower in hierarcy
72
// Wishbone slave unit consists of modules that together form datapath
73
// between external WISHBONE masters and external PCI targets
74
`include "pci_constants.v"
75
 
76
// synopsys translate_off
77
`include "timescale.v"
78
// synopsys translate_on
79
 
80
module pci_wb_slave_unit
81
(
82
    reset_in,
83
    wb_clock_in,
84
    pci_clock_in,
85
    ADDR_I,
86
    SDATA_I,
87
    SDATA_O,
88
    CYC_I,
89
    STB_I,
90
    WE_I,
91
    SEL_I,
92
    ACK_O,
93
    RTY_O,
94
    ERR_O,
95
    CAB_I,
96
    wbu_map_in,
97
    wbu_pref_en_in,
98
    wbu_mrl_en_in,
99
    wbu_pci_drcomp_pending_in,
100
    wbu_conf_data_in,
101
    wbu_pciw_empty_in,
102
    wbu_bar0_in,
103
    wbu_bar1_in,
104
    wbu_bar2_in,
105
    wbu_bar3_in,
106
    wbu_bar4_in,
107
    wbu_bar5_in,
108
    wbu_am0_in,
109
    wbu_am1_in,
110
    wbu_am2_in,
111
    wbu_am3_in,
112
    wbu_am4_in,
113
    wbu_am5_in,
114
    wbu_ta0_in,
115
    wbu_ta1_in,
116
    wbu_ta2_in,
117
    wbu_ta3_in,
118
    wbu_ta4_in,
119
    wbu_ta5_in,
120
    wbu_at_en_in,
121
    wbu_ccyc_addr_in ,
122
    wbu_master_enable_in,
123
    wbu_cache_line_size_not_zero,
124
    wbu_cache_line_size_in,
125
    wbu_pciif_gnt_in,
126
    wbu_pciif_frame_in,
127
    wbu_pciif_irdy_in,
128
    wbu_pciif_trdy_in,
129
    wbu_pciif_trdy_reg_in,
130
    wbu_pciif_stop_in,
131
    wbu_pciif_stop_reg_in,
132
    wbu_pciif_devsel_in,
133
    wbu_pciif_devsel_reg_in,
134
    wbu_pciif_ad_reg_in,
135
    wbu_pciif_req_out,
136
    wbu_pciif_frame_out,
137
    wbu_pciif_frame_en_out,
138
    wbu_pciif_frame_en_in,
139
    wbu_pciif_frame_out_in,
140
    wbu_pciif_frame_load_out,
141
    wbu_pciif_irdy_out,
142
    wbu_pciif_irdy_en_out,
143
    wbu_pciif_ad_out,
144
    wbu_pciif_ad_en_out,
145
    wbu_pciif_cbe_out,
146
    wbu_pciif_cbe_en_out,
147
    wbu_err_addr_out,
148
    wbu_err_bc_out,
149
    wbu_err_signal_out,
150
    wbu_err_source_out,
151
    wbu_err_rty_exp_out,
152
    wbu_tabort_rec_out,
153
    wbu_mabort_rec_out,
154
    wbu_conf_offset_out,
155
    wbu_conf_renable_out,
156
    wbu_conf_wenable_out,
157
    wbu_conf_be_out,
158
    wbu_conf_data_out,
159
    wbu_del_read_comp_pending_out,
160
    wbu_wbw_fifo_empty_out,
161
    wbu_latency_tim_val_in,
162
    wbu_ad_load_out,
163
    wbu_ad_load_on_transfer_out
164
 
165
`ifdef PCI_BIST
166
    ,
167
    // debug chain signals
168
    scanb_rst,      // bist scan reset
169
    scanb_clk,      // bist scan clock
170
    scanb_si,       // bist scan serial in
171
    scanb_so,       // bist scan serial out
172
    scanb_en        // bist scan shift enable
173
`endif
174
);
175
 
176
input reset_in,
177
      wb_clock_in,
178
      pci_clock_in ;
179
 
180
input   [31:0]  ADDR_I   ;
181
input   [31:0]  SDATA_I  ;
182
output  [31:0]  SDATA_O  ;
183
input           CYC_I    ;
184
input           STB_I    ;
185
input           WE_I     ;
186
input   [3:0]   SEL_I    ;
187
output          ACK_O    ;
188
output          RTY_O    ;
189
output          ERR_O    ;
190
input           CAB_I    ;
191
 
192
input   [5:0]   wbu_map_in ;
193
input   [5:0]   wbu_pref_en_in ;
194
input   [5:0]   wbu_mrl_en_in ;
195
 
196
input           wbu_pci_drcomp_pending_in ;
197
 
198
input   [31:0]  wbu_conf_data_in ;
199
 
200
input           wbu_pciw_empty_in ;
201
 
202
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in ;
203
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in ;
204
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in ;
205
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in ;
206
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in ;
207
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in ;
208
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in ;
209
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in ;
210
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in ;
211
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in ;
212
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in ;
213
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in ;
214
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in ;
215
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in ;
216
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in ;
217
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in ;
218
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in ;
219
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in ;
220
input   [5:0]                               wbu_at_en_in ;
221
 
222
input   [23:0]  wbu_ccyc_addr_in ;
223
 
224
input           wbu_master_enable_in ;
225
 
226
input                   wbu_cache_line_size_not_zero ;
227
input   [7:0]   wbu_cache_line_size_in ;
228
 
229
input           wbu_pciif_gnt_in ;
230
input           wbu_pciif_frame_in ;
231
input           wbu_pciif_frame_en_in ;
232
input           wbu_pciif_irdy_in ;
233
input           wbu_pciif_trdy_in;
234
input           wbu_pciif_trdy_reg_in;
235
input           wbu_pciif_stop_in ;
236
input           wbu_pciif_stop_reg_in ;
237
input           wbu_pciif_devsel_in ;
238
input           wbu_pciif_devsel_reg_in ;
239
input [31:0]    wbu_pciif_ad_reg_in ;
240
 
241
output          wbu_pciif_req_out ;
242
output          wbu_pciif_frame_out ;
243
output          wbu_pciif_frame_en_out ;
244
input           wbu_pciif_frame_out_in ;
245
output          wbu_pciif_frame_load_out ;
246
output          wbu_pciif_irdy_out ;
247
output          wbu_pciif_irdy_en_out ;
248
output  [31:0]  wbu_pciif_ad_out ;
249
output          wbu_pciif_ad_en_out ;
250
output  [3:0]   wbu_pciif_cbe_out ;
251
output          wbu_pciif_cbe_en_out ;
252
 
253
output  [31:0]  wbu_err_addr_out ;
254
output  [3:0]   wbu_err_bc_out ;
255
output          wbu_err_signal_out ;
256
output          wbu_err_source_out ;
257
output          wbu_err_rty_exp_out ;
258
output          wbu_tabort_rec_out ;
259
output          wbu_mabort_rec_out ;
260
 
261
output  [11:0]  wbu_conf_offset_out ;
262
output          wbu_conf_renable_out ;
263
output          wbu_conf_wenable_out ;
264
output  [3:0]   wbu_conf_be_out ;
265
output  [31:0]  wbu_conf_data_out ;
266
 
267
output          wbu_del_read_comp_pending_out ;
268
output          wbu_wbw_fifo_empty_out ;
269
 
270
input   [7:0]   wbu_latency_tim_val_in ;
271
 
272
output          wbu_ad_load_out ;
273
output          wbu_ad_load_on_transfer_out ;
274
 
275
`ifdef PCI_BIST
276
/*-----------------------------------------------------
277
BIST debug chain port signals
278
-----------------------------------------------------*/
279
input   scanb_rst;      // bist scan reset
280
input   scanb_clk;      // bist scan clock
281
input   scanb_si;       // bist scan serial in
282
output  scanb_so;       // bist scan serial out
283
input   scanb_en;       // bist scan shift enable
284
`endif
285
 
286
// pci master interface outputs
287
wire [31:0] pcim_if_address_out ;
288
wire [3:0]  pcim_if_bc_out ;
289
wire [31:0] pcim_if_data_out ;
290
wire [3:0]  pcim_if_be_out ;
291
wire        pcim_if_req_out ;
292
wire        pcim_if_rdy_out ;
293
wire        pcim_if_last_out ;
294
wire        pcim_if_wbw_renable_out ;
295
wire        pcim_if_wbr_wenable_out ;
296
wire [31:0] pcim_if_wbr_data_out ;
297
wire [3:0]  pcim_if_wbr_be_out ;
298
wire [3:0]  pcim_if_wbr_control_out ;
299
wire        pcim_if_del_complete_out ;
300
wire        pcim_if_del_error_out ;
301
wire        pcim_if_del_rty_exp_out ;
302
wire [31:0] pcim_if_err_addr_out ;
303
wire [3:0]  pcim_if_err_bc_out ;
304
wire        pcim_if_err_signal_out ;
305
wire        pcim_if_err_source_out ;
306
wire        pcim_if_err_rty_exp_out ;
307
wire        pcim_if_tabort_out ;
308
wire        pcim_if_mabort_out ;
309
wire [31:0] pcim_if_next_data_out ;
310
wire [3:0]  pcim_if_next_be_out ;
311
wire        pcim_if_next_last_out ;
312
wire        pcim_if_posted_write_not_present_out ;
313
 
314
 
315
 
316
wire        pcim_sm_req_out ;
317
wire        pcim_sm_frame_out ;
318
wire        pcim_sm_frame_en_out ;
319
wire        pcim_sm_irdy_out ;
320
wire        pcim_sm_irdy_en_out ;
321
wire [31:0] pcim_sm_ad_out ;
322
wire        pcim_sm_ad_en_out ;
323
wire [3:0]  pcim_sm_cbe_out ;
324
wire        pcim_sm_cbe_en_out ;
325
wire        pcim_sm_ad_load_out ;
326
wire        pcim_sm_ad_load_on_transfer_out ;
327
 
328
wire        pcim_sm_wait_out ;
329
wire        pcim_sm_wtransfer_out ;
330
wire        pcim_sm_rtransfer_out ;
331
wire        pcim_sm_retry_out ;
332
wire        pcim_sm_rerror_out ;
333
wire        pcim_sm_first_out ;
334
wire        pcim_sm_mabort_out ;
335
wire        pcim_sm_frame_load_out ;
336
 
337
assign wbu_pciif_frame_load_out = pcim_sm_frame_load_out ;
338
 
339
assign wbu_err_addr_out     =   pcim_if_err_addr_out ;
340
assign wbu_err_bc_out       =   pcim_if_err_bc_out ;
341
assign wbu_err_signal_out   =   pcim_if_err_signal_out ;
342
assign wbu_err_source_out   =   pcim_if_err_source_out ;
343
assign wbu_err_rty_exp_out  =   pcim_if_err_rty_exp_out ;
344
assign wbu_tabort_rec_out   =   pcim_if_tabort_out ;
345
assign wbu_mabort_rec_out   =   pcim_if_mabort_out ;
346
 
347
assign wbu_wbw_fifo_empty_out = pcim_if_posted_write_not_present_out ;
348
 
349
// pci master state machine outputs
350
// pci interface signals
351
assign  wbu_pciif_req_out           =           pcim_sm_req_out ;
352
assign  wbu_pciif_frame_out         =           pcim_sm_frame_out ;
353
assign  wbu_pciif_frame_en_out      =           pcim_sm_frame_en_out ;
354
assign  wbu_pciif_irdy_out          =           pcim_sm_irdy_out ;
355
assign  wbu_pciif_irdy_en_out       =           pcim_sm_irdy_en_out ;
356
assign  wbu_pciif_ad_out            =           pcim_sm_ad_out ;
357
assign  wbu_pciif_ad_en_out         =           pcim_sm_ad_en_out ;
358
assign  wbu_pciif_cbe_out           =           pcim_sm_cbe_out ;
359
assign  wbu_pciif_cbe_en_out        =           pcim_sm_cbe_en_out ;
360
assign  wbu_ad_load_out             =           pcim_sm_ad_load_out ;
361
assign  wbu_ad_load_on_transfer_out =           pcim_sm_ad_load_on_transfer_out ;
362
 
363
// signals to internal of the core
364
wire [31:0] pcim_sm_data_out ;
365
 
366
// wishbone slave state machine outputs
367
wire [3:0]  wbs_sm_del_bc_out ;
368
wire        wbs_sm_del_req_out ;
369
wire        wbs_sm_del_done_out ;
370
wire        wbs_sm_del_burst_out ;
371
wire        wbs_sm_del_write_out ;
372
wire [11:0] wbs_sm_conf_offset_out ;
373
wire        wbs_sm_conf_renable_out ;
374
wire        wbs_sm_conf_wenable_out ;
375
wire [3:0]  wbs_sm_conf_be_out ;
376
wire [31:0] wbs_sm_conf_data_out ;
377
wire [31:0] wbs_sm_data_out ;
378
wire [3:0]  wbs_sm_cbe_out ;
379
wire        wbs_sm_wbw_wenable_out ;
380
wire [3:0]  wbs_sm_wbw_control_out ;
381
wire        wbs_sm_wbr_renable_out ;
382
wire        wbs_sm_wbr_flush_out ;
383
wire        wbs_sm_del_in_progress_out ;
384
wire [31:0] wbs_sm_sdata_out ;
385
wire        wbs_sm_ack_out ;
386
wire        wbs_sm_rty_out ;
387
wire        wbs_sm_err_out ;
388
wire        wbs_sm_sample_address_out ;
389
 
390
assign wbu_conf_offset_out  = wbs_sm_conf_offset_out ;
391
assign wbu_conf_renable_out = wbs_sm_conf_renable_out ;
392
assign wbu_conf_wenable_out = wbs_sm_conf_wenable_out ;
393
assign wbu_conf_be_out      = ~wbs_sm_conf_be_out ;
394
assign wbu_conf_data_out    = wbs_sm_conf_data_out ;
395
 
396
assign SDATA_O = wbs_sm_sdata_out ;
397
assign ACK_O   = wbs_sm_ack_out ;
398
assign RTY_O   = wbs_sm_rty_out ;
399
assign ERR_O   = wbs_sm_err_out ;
400
 
401
 
402
// wbw_wbr fifo outputs
403
 
404
// wbw_fifo_outputs:
405
wire [31:0] fifos_wbw_addr_data_out ;
406
wire [3:0]  fifos_wbw_cbe_out ;
407
wire [3:0]  fifos_wbw_control_out ;
408
wire        fifos_wbw_almost_full_out ;
409
wire        fifos_wbw_full_out ;
410
wire        fifos_wbw_empty_out ;
411
wire        fifos_wbw_transaction_ready_out ;
412
 
413
// wbr_fifo_outputs
414
wire [31:0] fifos_wbr_data_out ;
415
wire [3:0]  fifos_wbr_be_out ;
416
wire [3:0]  fifos_wbr_control_out ;
417
wire        fifos_wbr_empty_out ;
418
 
419
// address multiplexer outputs
420
wire [5:0]  amux_hit_out ;
421
wire [31:0] amux_address_out ;
422
 
423
// delayed transaction logic outputs
424
wire [31:0] del_sync_addr_out ;
425
wire [3:0]  del_sync_be_out ;
426
wire        del_sync_we_out ;
427
wire        del_sync_comp_req_pending_out ;
428
wire        del_sync_comp_comp_pending_out ;
429
wire        del_sync_req_req_pending_out ;
430
wire        del_sync_req_comp_pending_out ;
431
wire [3:0]  del_sync_bc_out ;
432
wire        del_sync_status_out ;
433
wire        del_sync_comp_flush_out ;
434
wire        del_sync_burst_out ;
435
 
436
assign wbu_del_read_comp_pending_out = del_sync_comp_comp_pending_out ;
437
 
438
// delayed write storage output
439
wire [31:0] del_write_data_out ;
440
 
441
// config. cycle address decoder output
442
wire [31:0] ccyc_addr_out ;
443
 
444
 
445
// WISHBONE slave interface inputs
446
wire [4:0]  wbs_sm_hit_in                   =       amux_hit_out[5:1] ;
447
wire        wbs_sm_conf_hit_in              =       amux_hit_out[0]   ;
448
wire [4:0]  wbs_sm_map_in                   =       wbu_map_in[5:1]        ;
449
wire [4:0]  wbs_sm_pref_en_in               =       wbu_pref_en_in[5:1]    ;
450
wire [4:0]  wbs_sm_mrl_en_in                =       wbu_mrl_en_in[5:1]     ;
451
wire [31:0] wbs_sm_addr_in                  =       amux_address_out ;
452
wire [3:0]  wbs_sm_del_bc_in                =       del_sync_bc_out  ;
453
wire        wbs_sm_del_req_pending_in       =       del_sync_req_req_pending_out ;
454
wire        wbs_sm_wb_del_comp_pending_in   =       del_sync_req_comp_pending_out ;
455
wire        wbs_sm_pci_drcomp_pending_in    =       wbu_pci_drcomp_pending_in ;
456
wire        wbs_sm_del_write_in             =       del_sync_we_out ;
457
wire        wbs_sm_del_error_in             =       del_sync_status_out ;
458
wire [31:0] wbs_sm_del_addr_in              =       del_sync_addr_out ;
459
wire [3:0]  wbs_sm_del_be_in                =       del_sync_be_out ;
460
wire [31:0] wbs_sm_conf_data_in             =       wbu_conf_data_in ;
461
wire        wbs_sm_wbw_almost_full_in       =       fifos_wbw_almost_full_out ;
462
wire        wbs_sm_wbw_full_in              =       fifos_wbw_full_out ;
463
wire [3:0]  wbs_sm_wbr_be_in                =       fifos_wbr_be_out ;
464
wire [31:0] wbs_sm_wbr_data_in              =       fifos_wbr_data_out ;
465
wire [3:0]  wbs_sm_wbr_control_in           =       fifos_wbr_control_out ;
466
wire        wbs_sm_wbr_empty_in             =       fifos_wbr_empty_out ;
467
wire        wbs_sm_pciw_empty_in            =       wbu_pciw_empty_in ;
468
wire        wbs_sm_lock_in                  =       ~wbu_master_enable_in ;
469
wire            wbs_sm_cache_line_size_not_zero =               wbu_cache_line_size_not_zero ;
470
wire        wbs_sm_cyc_in                   =       CYC_I ;
471
wire        wbs_sm_stb_in                   =       STB_I ;
472
wire        wbs_sm_we_in                    =       WE_I  ;
473
wire [3:0]  wbs_sm_sel_in                   =       SEL_I ;
474
wire [31:0] wbs_sm_sdata_in                 =       SDATA_I ;
475
wire        wbs_sm_cab_in                   =       CAB_I ;
476
wire [31:0] wbs_sm_ccyc_addr_in             =       ccyc_addr_out ;
477
 
478
// WISHBONE slave interface instantiation
479
pci_wb_slave wishbone_slave(
480
                        .wb_clock_in              (wb_clock_in) ,
481
                        .reset_in                 (reset_in) ,
482
                        .wb_hit_in                (wbs_sm_hit_in) ,
483
                        .wb_conf_hit_in           (wbs_sm_conf_hit_in) ,
484
                        .wb_map_in                (wbs_sm_map_in) ,
485
                        .wb_pref_en_in            (wbs_sm_pref_en_in) ,
486
                        .wb_mrl_en_in             (wbs_sm_mrl_en_in) ,
487
                        .wb_addr_in               (wbs_sm_addr_in),
488
                        .del_bc_in                (wbs_sm_del_bc_in),
489
                        .wb_del_req_pending_in    (wbs_sm_del_req_pending_in),
490
                        .wb_del_comp_pending_in   (wbs_sm_wb_del_comp_pending_in),
491
                        .pci_drcomp_pending_in    (wbs_sm_pci_drcomp_pending_in),
492
                        .del_bc_out               (wbs_sm_del_bc_out),
493
                        .del_req_out              (wbs_sm_del_req_out),
494
                        .del_done_out             (wbs_sm_del_done_out),
495
                        .del_burst_out            (wbs_sm_del_burst_out),
496
                        .del_write_out            (wbs_sm_del_write_out),
497
                        .del_write_in             (wbs_sm_del_write_in),
498
                        .del_error_in             (wbs_sm_del_error_in),
499
                        .wb_del_addr_in           (wbs_sm_del_addr_in),
500
                        .wb_del_be_in             (wbs_sm_del_be_in),
501
                        .wb_conf_offset_out       (wbs_sm_conf_offset_out),
502
                        .wb_conf_renable_out      (wbs_sm_conf_renable_out),
503
                        .wb_conf_wenable_out      (wbs_sm_conf_wenable_out),
504
                        .wb_conf_be_out           (wbs_sm_conf_be_out),
505
                        .wb_conf_data_in          (wbs_sm_conf_data_in),
506
                        .wb_conf_data_out         (wbs_sm_conf_data_out),
507
                        .wb_data_out              (wbs_sm_data_out),
508
                        .wb_cbe_out               (wbs_sm_cbe_out),
509
                        .wbw_fifo_wenable_out     (wbs_sm_wbw_wenable_out),
510
                        .wbw_fifo_control_out     (wbs_sm_wbw_control_out),
511
                        .wbw_fifo_almost_full_in  (wbs_sm_wbw_almost_full_in),
512
                        .wbw_fifo_full_in         (wbs_sm_wbw_full_in),
513
                        .wbr_fifo_renable_out     (wbs_sm_wbr_renable_out),
514
                        .wbr_fifo_be_in           (wbs_sm_wbr_be_in),
515
                        .wbr_fifo_data_in         (wbs_sm_wbr_data_in),
516
                        .wbr_fifo_control_in      (wbs_sm_wbr_control_in),
517
                        .wbr_fifo_flush_out       (wbs_sm_wbr_flush_out),
518
                        .wbr_fifo_empty_in        (wbs_sm_wbr_empty_in),
519
                        .pciw_fifo_empty_in       (wbs_sm_pciw_empty_in),
520
                        .wbs_lock_in              (wbs_sm_lock_in),
521
                        .cache_line_size_not_zero (wbs_sm_cache_line_size_not_zero),
522
                        .del_in_progress_out      (wbs_sm_del_in_progress_out),
523
                        .ccyc_addr_in             (wbs_sm_ccyc_addr_in),
524
                        .sample_address_out       (wbs_sm_sample_address_out),
525
                        .CYC_I                    (wbs_sm_cyc_in),
526
                        .STB_I                    (wbs_sm_stb_in),
527
                        .WE_I                     (wbs_sm_we_in),
528
                        .SEL_I                    (wbs_sm_sel_in),
529
                        .SDATA_I                  (wbs_sm_sdata_in),
530
                        .SDATA_O                  (wbs_sm_sdata_out),
531
                        .ACK_O                    (wbs_sm_ack_out),
532
                        .RTY_O                    (wbs_sm_rty_out),
533
                        .ERR_O                    (wbs_sm_err_out),
534
                        .CAB_I                    (wbs_sm_cab_in)
535
                       );
536
 
537
// wbw_wbr_fifos inputs
538
// WBW_FIFO inputs
539
wire        fifos_wbw_wenable_in        =       wbs_sm_wbw_wenable_out;
540
wire [31:0] fifos_wbw_addr_data_in      =       wbs_sm_data_out ;
541
wire [3:0]  fifos_wbw_cbe_in            =       wbs_sm_cbe_out ;
542
wire [3:0]  fifos_wbw_control_in        =       wbs_sm_wbw_control_out ;
543
wire        fifos_wbw_renable_in        =       pcim_if_wbw_renable_out ;
544
 
545
//wire        fifos_wbw_flush_in          =       1'b0 ; flush for write fifo not used
546
 
547
// WBR_FIFO inputs
548
wire        fifos_wbr_wenable_in        =       pcim_if_wbr_wenable_out ;
549
wire [31:0] fifos_wbr_data_in           =       pcim_if_wbr_data_out ;
550
wire [3:0]  fifos_wbr_be_in             =       pcim_if_wbr_be_out ;
551
wire [3:0]  fifos_wbr_control_in        =       pcim_if_wbr_control_out ;
552
wire        fifos_wbr_renable_in        =       wbs_sm_wbr_renable_out ;
553
wire        fifos_wbr_flush_in          =       wbs_sm_wbr_flush_out || del_sync_comp_flush_out ;
554
 
555
// WBW_FIFO and WBR_FIFO instantiation
556
pci_wbw_wbr_fifos fifos
557
(
558
    .wb_clock_in               (wb_clock_in),
559
    .pci_clock_in              (pci_clock_in),
560
    .reset_in                  (reset_in),
561
    .wbw_wenable_in            (fifos_wbw_wenable_in),
562
    .wbw_addr_data_in          (fifos_wbw_addr_data_in),
563
    .wbw_cbe_in                (fifos_wbw_cbe_in),
564
    .wbw_control_in            (fifos_wbw_control_in),
565
    .wbw_renable_in            (fifos_wbw_renable_in),
566
    .wbw_addr_data_out         (fifos_wbw_addr_data_out),
567
    .wbw_cbe_out               (fifos_wbw_cbe_out),
568
    .wbw_control_out           (fifos_wbw_control_out),
569
//    .wbw_flush_in              (fifos_wbw_flush_in),        // flush for write fifo not used
570
    .wbw_almost_full_out       (fifos_wbw_almost_full_out),
571
    .wbw_full_out              (fifos_wbw_full_out),
572
    .wbw_empty_out             (fifos_wbw_empty_out),
573
    .wbw_transaction_ready_out (fifos_wbw_transaction_ready_out),
574
    .wbr_wenable_in            (fifos_wbr_wenable_in),
575
    .wbr_data_in               (fifos_wbr_data_in),
576
    .wbr_be_in                 (fifos_wbr_be_in),
577
    .wbr_control_in            (fifos_wbr_control_in),
578
    .wbr_renable_in            (fifos_wbr_renable_in),
579
    .wbr_data_out              (fifos_wbr_data_out),
580
    .wbr_be_out                (fifos_wbr_be_out),
581
    .wbr_control_out           (fifos_wbr_control_out),
582
    .wbr_flush_in              (fifos_wbr_flush_in),
583
    .wbr_empty_out             (fifos_wbr_empty_out)
584
 
585
`ifdef PCI_BIST
586
    ,
587
    .scanb_rst      (scanb_rst),
588
    .scanb_clk      (scanb_clk),
589
    .scanb_si       (scanb_si),
590
    .scanb_so       (scanb_so),
591
    .scanb_en       (scanb_en)
592
`endif
593
) ;
594
 
595
wire [31:0] amux_addr_in  = ADDR_I ;
596
wire        amux_sample_address_in = wbs_sm_sample_address_out ;
597
 
598
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar0_in   =   wbu_bar0_in ;
599
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar1_in   =   wbu_bar1_in ;
600
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar2_in   =   wbu_bar2_in ;
601
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar3_in   =   wbu_bar3_in ;
602
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar4_in   =   wbu_bar4_in ;
603
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar5_in   =   wbu_bar5_in ;
604
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am0_in    =   wbu_am0_in ;
605
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am1_in    =   wbu_am1_in ;
606
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am2_in    =   wbu_am2_in ;
607
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am3_in    =   wbu_am3_in ;
608
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am4_in    =   wbu_am4_in ;
609
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am5_in    =   wbu_am5_in ;
610
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta0_in    =   wbu_ta0_in ;
611
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta1_in    =   wbu_ta1_in ;
612
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta2_in    =   wbu_ta2_in ;
613
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta3_in    =   wbu_ta3_in ;
614
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta4_in    =   wbu_ta4_in ;
615
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta5_in    =   wbu_ta5_in ;
616
wire [5:0]  amux_at_en_in = wbu_at_en_in ;
617
 
618
pci_wb_addr_mux wb_addr_dec
619
(
620
    `ifdef REGISTER_WBS_OUTPUTS
621
    .clk_in      (wb_clock_in),
622
    .reset_in    (reset_in),
623
    .sample_address_in (amux_sample_address_in),
624
    `endif
625
    .address_in  (amux_addr_in),
626
    .bar0_in     (amux_bar0_in),
627
    .bar1_in     (amux_bar1_in),
628
    .bar2_in     (amux_bar2_in),
629
    .bar3_in     (amux_bar3_in),
630
    .bar4_in     (amux_bar4_in),
631
    .bar5_in     (amux_bar5_in),
632
    .am0_in      (amux_am0_in),
633
    .am1_in      (amux_am1_in),
634
    .am2_in      (amux_am2_in),
635
    .am3_in      (amux_am3_in),
636
    .am4_in      (amux_am4_in),
637
    .am5_in      (amux_am5_in),
638
    .ta0_in      (amux_ta0_in),
639
    .ta1_in      (amux_ta1_in),
640
    .ta2_in      (amux_ta2_in),
641
    .ta3_in      (amux_ta3_in),
642
    .ta4_in      (amux_ta4_in),
643
    .ta5_in      (amux_ta5_in),
644
    .at_en_in    (amux_at_en_in),
645
    .hit_out     (amux_hit_out),
646
    .address_out (amux_address_out)
647
);
648
 
649
// delayed transaction logic inputs
650
wire        del_sync_req_in             =       wbs_sm_del_req_out ;
651
wire        del_sync_comp_in            =       pcim_if_del_complete_out ;
652
wire        del_sync_done_in            =       wbs_sm_del_done_out ;
653
wire        del_sync_in_progress_in     =       wbs_sm_del_in_progress_out ;
654
wire [31:0] del_sync_addr_in            =       wbs_sm_data_out ;
655
wire [3:0]  del_sync_be_in              =       wbs_sm_conf_be_out ;
656
wire        del_sync_we_in              =       wbs_sm_del_write_out ;
657
wire [3:0]  del_sync_bc_in              =       wbs_sm_del_bc_out ;
658
wire        del_sync_status_in          =       pcim_if_del_error_out ;
659
wire        del_sync_burst_in           =       wbs_sm_del_burst_out ;
660
wire        del_sync_retry_expired_in   =       pcim_if_del_rty_exp_out ;
661
 
662
// delayed transaction logic instantiation
663
pci_delayed_sync del_sync  (
664
                            .reset_in             (reset_in),
665
                            .req_clk_in           (wb_clock_in),
666
                            .comp_clk_in          (pci_clock_in),
667
                            .req_in               (del_sync_req_in),
668
                            .comp_in              (del_sync_comp_in),
669
                            .done_in              (del_sync_done_in),
670
                            .in_progress_in       (del_sync_in_progress_in),
671
                            .comp_req_pending_out (del_sync_comp_req_pending_out),
672
                            .comp_comp_pending_out(del_sync_comp_comp_pending_out),
673
                            .req_req_pending_out  (del_sync_req_req_pending_out),
674
                            .req_comp_pending_out (del_sync_req_comp_pending_out),
675
                            .addr_in              (del_sync_addr_in),
676
                            .be_in                (del_sync_be_in),
677
                            .addr_out             (del_sync_addr_out),
678
                            .be_out               (del_sync_be_out),
679
                            .we_in                (del_sync_we_in),
680
                            .we_out               (del_sync_we_out),
681
                            .bc_in                (del_sync_bc_in),
682
                            .bc_out               (del_sync_bc_out),
683
                            .status_in            (del_sync_status_in),
684
                            .status_out           (del_sync_status_out),
685
                            .comp_flush_out       (del_sync_comp_flush_out),
686
                            .burst_in             (del_sync_burst_in),
687
                            .burst_out            (del_sync_burst_out),
688
                            .retry_expired_in     (del_sync_retry_expired_in)
689
                        );
690
 
691
// delayed write storage inputs
692
wire        del_write_we_in         =       wbs_sm_del_req_out && wbs_sm_del_write_out ;
693
wire [31:0] del_write_data_in       =       wbs_sm_conf_data_out ;
694
 
695
pci_delayed_write_reg delayed_write_data
696
(
697
        .reset_in       (reset_in),
698
        .req_clk_in     (wb_clock_in),
699
        .comp_wdata_out (del_write_data_out),
700
        .req_we_in      (del_write_we_in),
701
        .req_wdata_in   (del_write_data_in)
702
);
703
 
704
`ifdef HOST
705
    // configuration cycle address decoder input
706
    wire    [31:0]      ccyc_addr_in = {8'h00, wbu_ccyc_addr_in} ;
707
 
708
    pci_conf_cyc_addr_dec ccyc_addr_dec
709
    (
710
        .ccyc_addr_in   (ccyc_addr_in),
711
        .ccyc_addr_out  (ccyc_addr_out)
712
    ) ;
713
`else
714
`ifdef GUEST
715
    assign ccyc_addr_out = 32'h0000_0000 ;
716
`endif
717
`endif
718
 
719
// pci master interface inputs
720
wire [31:0] pcim_if_wbw_addr_data_in            =           fifos_wbw_addr_data_out ;
721
wire [3:0]  pcim_if_wbw_cbe_in                  =           fifos_wbw_cbe_out ;
722
wire [3:0]  pcim_if_wbw_control_in              =           fifos_wbw_control_out ;
723
wire        pcim_if_wbw_empty_in                =           fifos_wbw_empty_out ;
724
wire        pcim_if_wbw_transaction_ready_in    =           fifos_wbw_transaction_ready_out ;
725
wire [31:0] pcim_if_data_in                     =           pcim_sm_data_out ;
726
wire [31:0] pcim_if_del_wdata_in                =           del_write_data_out ;
727
wire        pcim_if_del_req_in                  =           del_sync_comp_req_pending_out ;
728
wire [31:0] pcim_if_del_addr_in                 =           del_sync_addr_out ;
729
wire [3:0]  pcim_if_del_bc_in                   =           del_sync_bc_out ;
730
wire [3:0]  pcim_if_del_be_in                   =           del_sync_be_out ;
731
wire        pcim_if_del_burst_in                =           del_sync_burst_out ;
732
wire        pcim_if_del_we_in                   =           del_sync_we_out ;
733
wire [7:0]  pcim_if_cache_line_size_in          =           wbu_cache_line_size_in ;
734
wire        pcim_if_wait_in                     =           pcim_sm_wait_out ;
735
wire        pcim_if_wtransfer_in                =           pcim_sm_wtransfer_out ;
736
wire        pcim_if_rtransfer_in                =           pcim_sm_rtransfer_out ;
737
wire        pcim_if_retry_in                    =           pcim_sm_retry_out ;
738
wire        pcim_if_rerror_in                   =           pcim_sm_rerror_out ;
739
wire        pcim_if_first_in                    =           pcim_sm_first_out ;
740
wire        pcim_if_mabort_in                   =           pcim_sm_mabort_out ;
741
 
742
pci_master32_sm_if pci_initiator_if
743
(
744
    .clk_in                        (pci_clock_in),
745
    .reset_in                      (reset_in),
746
    .address_out                   (pcim_if_address_out),
747
    .bc_out                        (pcim_if_bc_out),
748
    .data_out                      (pcim_if_data_out),
749
    .data_in                       (pcim_if_data_in),
750
    .be_out                        (pcim_if_be_out),
751
    .req_out                       (pcim_if_req_out),
752
    .rdy_out                       (pcim_if_rdy_out),
753
    .last_out                      (pcim_if_last_out),
754
    .wbw_renable_out               (pcim_if_wbw_renable_out),
755
    .wbw_fifo_addr_data_in         (pcim_if_wbw_addr_data_in),
756
    .wbw_fifo_cbe_in               (pcim_if_wbw_cbe_in),
757
    .wbw_fifo_control_in           (pcim_if_wbw_control_in),
758
    .wbw_fifo_empty_in             (pcim_if_wbw_empty_in),
759
    .wbw_fifo_transaction_ready_in (pcim_if_wbw_transaction_ready_in),
760
    .wbr_fifo_wenable_out          (pcim_if_wbr_wenable_out),
761
    .wbr_fifo_data_out             (pcim_if_wbr_data_out),
762
    .wbr_fifo_be_out               (pcim_if_wbr_be_out),
763
    .wbr_fifo_control_out          (pcim_if_wbr_control_out),
764
    .del_wdata_in                  (pcim_if_del_wdata_in),
765
    .del_complete_out              (pcim_if_del_complete_out),
766
    .del_req_in                    (pcim_if_del_req_in),
767
    .del_addr_in                   (pcim_if_del_addr_in),
768
    .del_bc_in                     (pcim_if_del_bc_in),
769
    .del_be_in                     (pcim_if_del_be_in),
770
    .del_burst_in                  (pcim_if_del_burst_in),
771
    .del_error_out                 (pcim_if_del_error_out),
772
    .del_rty_exp_out               (pcim_if_del_rty_exp_out),
773
    .del_we_in                     (pcim_if_del_we_in),
774
    .err_addr_out                  (pcim_if_err_addr_out),
775
    .err_bc_out                    (pcim_if_err_bc_out),
776
    .err_signal_out                (pcim_if_err_signal_out),
777
    .err_source_out                (pcim_if_err_source_out),
778
    .err_rty_exp_out               (pcim_if_err_rty_exp_out),
779
    .cache_line_size_in            (pcim_if_cache_line_size_in),
780
    .mabort_received_out           (pcim_if_mabort_out),
781
    .tabort_received_out           (pcim_if_tabort_out),
782
    .next_data_out                 (pcim_if_next_data_out),
783
    .next_be_out                   (pcim_if_next_be_out),
784
    .next_last_out                 (pcim_if_next_last_out),
785
    .wait_in                       (pcim_if_wait_in),
786
    .wtransfer_in                  (pcim_if_wtransfer_in),
787
    .rtransfer_in                  (pcim_if_rtransfer_in),
788
    .retry_in                      (pcim_if_retry_in),
789
    .rerror_in                     (pcim_if_rerror_in),
790
    .first_in                      (pcim_if_first_in),
791
    .mabort_in                     (pcim_if_mabort_in),
792
    .posted_write_not_present_out  (pcim_if_posted_write_not_present_out)
793
);
794
 
795
// pci master state machine inputs
796
wire        pcim_sm_gnt_in                  =       wbu_pciif_gnt_in ;
797
wire        pcim_sm_frame_in                =       wbu_pciif_frame_in ;
798
wire        pcim_sm_irdy_in                 =       wbu_pciif_irdy_in ;
799
wire        pcim_sm_trdy_in                 =       wbu_pciif_trdy_in;
800
wire        pcim_sm_stop_in                 =       wbu_pciif_stop_in ;
801
wire        pcim_sm_devsel_in               =       wbu_pciif_devsel_in ;
802
wire [31:0] pcim_sm_ad_reg_in               =       wbu_pciif_ad_reg_in ;
803
wire [31:0] pcim_sm_address_in              =       pcim_if_address_out ;
804
wire [3:0]  pcim_sm_bc_in                   =       pcim_if_bc_out ;
805
wire [31:0] pcim_sm_data_in                 =       pcim_if_data_out ;
806
wire [3:0]  pcim_sm_be_in                   =       pcim_if_be_out ;
807
wire        pcim_sm_req_in                  =       pcim_if_req_out ;
808
wire        pcim_sm_rdy_in                  =       pcim_if_rdy_out ;
809
wire        pcim_sm_last_in                 =       pcim_if_last_out ;
810
wire [7:0]  pcim_sm_latency_tim_val_in      =       wbu_latency_tim_val_in ;
811
wire [31:0] pcim_sm_next_data_in            =       pcim_if_next_data_out ;
812
wire [3:0]  pcim_sm_next_be_in              =       pcim_if_next_be_out ;
813
wire        pcim_sm_next_last_in            =       pcim_if_next_last_out ;
814
wire        pcim_sm_trdy_reg_in             =       wbu_pciif_trdy_reg_in ;
815
wire        pcim_sm_stop_reg_in             =       wbu_pciif_stop_reg_in ;
816
wire        pcim_sm_devsel_reg_in           =       wbu_pciif_devsel_reg_in ;
817
wire        pcim_sm_frame_en_in             =       wbu_pciif_frame_en_in ;
818
wire        pcim_sm_frame_out_in            =       wbu_pciif_frame_out_in ;
819
 
820
pci_master32_sm pci_initiator_sm
821
(
822
    .clk_in                     (pci_clock_in),
823
    .reset_in                   (reset_in),
824
    .pci_req_out                (pcim_sm_req_out),
825
    .pci_gnt_in                 (pcim_sm_gnt_in),
826
    .pci_frame_in               (pcim_sm_frame_in),
827
    .pci_frame_out              (pcim_sm_frame_out),
828
    .pci_frame_en_out           (pcim_sm_frame_en_out),
829
    .pci_frame_out_in           (pcim_sm_frame_out_in),
830
    .pci_frame_load_out         (pcim_sm_frame_load_out),
831
    .pci_frame_en_in            (pcim_sm_frame_en_in),
832
    .pci_irdy_in                (pcim_sm_irdy_in),
833
    .pci_irdy_out               (pcim_sm_irdy_out),
834
    .pci_irdy_en_out            (pcim_sm_irdy_en_out),
835
    .pci_trdy_in                (pcim_sm_trdy_in),
836
    .pci_trdy_reg_in            (pcim_sm_trdy_reg_in),
837
    .pci_stop_in                (pcim_sm_stop_in),
838
    .pci_stop_reg_in            (pcim_sm_stop_reg_in),
839
    .pci_devsel_in              (pcim_sm_devsel_in),
840
    .pci_devsel_reg_in          (pcim_sm_devsel_reg_in),
841
    .pci_ad_reg_in              (pcim_sm_ad_reg_in),
842
    .pci_ad_out                 (pcim_sm_ad_out),
843
    .pci_ad_en_out              (pcim_sm_ad_en_out),
844
    .pci_cbe_out                (pcim_sm_cbe_out),
845
    .pci_cbe_en_out             (pcim_sm_cbe_en_out),
846
    .address_in                 (pcim_sm_address_in),
847
    .bc_in                      (pcim_sm_bc_in),
848
    .data_in                    (pcim_sm_data_in),
849
    .data_out                   (pcim_sm_data_out),
850
    .be_in                      (pcim_sm_be_in),
851
    .req_in                     (pcim_sm_req_in),
852
    .rdy_in                     (pcim_sm_rdy_in),
853
    .last_in                    (pcim_sm_last_in),
854
    .latency_tim_val_in         (pcim_sm_latency_tim_val_in),
855
    .next_data_in               (pcim_sm_next_data_in),
856
    .next_be_in                 (pcim_sm_next_be_in),
857
    .next_last_in               (pcim_sm_next_last_in),
858
    .ad_load_out                (pcim_sm_ad_load_out),
859
    .ad_load_on_transfer_out    (pcim_sm_ad_load_on_transfer_out),
860
    .wait_out                   (pcim_sm_wait_out),
861
    .wtransfer_out              (pcim_sm_wtransfer_out),
862
    .rtransfer_out              (pcim_sm_rtransfer_out),
863
    .retry_out                  (pcim_sm_retry_out),
864
    .rerror_out                 (pcim_sm_rerror_out),
865
    .first_out                  (pcim_sm_first_out),
866
    .mabort_out                 (pcim_sm_mabort_out)
867
) ;
868
 
869
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.