OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_6/] [sim/] [rtl_sim/] [run/] [ncvlog.args] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 mihad
-cdslib ../bin/cds.lib
2
-hdlvar ../bin/hdl.var
3
-logfile ../log/ncvlog.log
4
-update
5
-messages
6
-INCDIR ../../../bench/verilog
7
-INCDIR ../../../rtl/verilog
8 106 mihad
-DEFINE REGRESSION
9
-DEFINE REGR_FIFO_SMALL_GENERIC
10
-DEFINE GUEST
11
-DEFINE WB_DECODE_FAST
12
-DEFINE PCI_DECODE_MAX
13
-DEFINE WB_DECODE_MED
14
-DEFINE PCI66
15
-DEFINE WB_CLK66
16
-DEFINE ACTIVE_HIGH_OE
17
-DEFINE WB_CNF_BASE_ZERO
18
-DEFINE NO_CNF_IMAGE
19
-DEFINE PCI_CLOCK_FOLLOWS_WB_CLOCK=2
20
-DEFINE DISABLE_COMPLETION_EXPIRED_TESTS
21 17 mihad
../../../rtl/verilog/pci_parity_check.v
22
../../../rtl/verilog/pci_target_unit.v
23 92 mihad
../../../rtl/verilog/pci_wb_addr_mux.v
24
../../../rtl/verilog/pci_cbe_en_crit.v
25
../../../rtl/verilog/pci_pcir_fifo_control.v
26
../../../rtl/verilog/pci_out_reg.v
27
../../../rtl/verilog/pci_pci_tpram.v
28
../../../rtl/verilog/pci_wb_master.v
29
../../../rtl/verilog/pci_conf_cyc_addr_dec.v
30
../../../rtl/verilog/pci_frame_crit.v
31 17 mihad
../../../rtl/verilog/pci_target32_clk_en.v
32 92 mihad
../../../rtl/verilog/pci_pciw_fifo_control.v
33
../../../rtl/verilog/pci_wb_slave.v
34
../../../rtl/verilog/pci_conf_space.v
35
../../../rtl/verilog/pci_frame_en_crit.v
36
../../../rtl/verilog/pci_par_crit.v
37
../../../rtl/verilog/pci_pciw_pcir_fifos.v
38
../../../rtl/verilog/pci_wb_slave_unit.v
39
../../../rtl/verilog/pci_frame_load_crit.v
40 17 mihad
../../../rtl/verilog/pci_bridge32.v
41
../../../rtl/verilog/pci_target32_devs_crit.v
42 92 mihad
../../../rtl/verilog/pci_perr_crit.v
43
../../../rtl/verilog/pci_wbr_fifo_control.v
44
../../../rtl/verilog/pci_cur_out_reg.v
45
../../../rtl/verilog/pci_pci_decoder.v
46 17 mihad
../../../rtl/verilog/pci_target32_interface.v
47 92 mihad
../../../rtl/verilog/pci_perr_en_crit.v
48
../../../rtl/verilog/pci_wbw_fifo_control.v
49
../../../rtl/verilog/pci_wb_decoder.v
50 17 mihad
../../../rtl/verilog/pci_in_reg.v
51 92 mihad
../../../rtl/verilog/pci_serr_crit.v
52
../../../rtl/verilog/pci_wbw_wbr_fifos.v
53
../../../rtl/verilog/pci_delayed_sync.v
54
../../../rtl/verilog/pci_irdy_out_crit.v
55 17 mihad
../../../rtl/verilog/pci_io_mux.v
56
../../../rtl/verilog/pci_io_mux_ad_en_crit.v
57
../../../rtl/verilog/pci_io_mux_ad_load_crit.v
58
../../../rtl/verilog/pci_target32_sm.v
59 92 mihad
../../../rtl/verilog/pci_serr_en_crit.v
60
../../../rtl/verilog/pci_delayed_write_reg.v
61
../../../rtl/verilog/pci_mas_ad_en_crit.v
62
../../../rtl/verilog/pci_mas_ad_load_crit.v
63 17 mihad
../../../rtl/verilog/pci_master32_sm.v
64
../../../rtl/verilog/pci_target32_stop_crit.v
65
../../../rtl/verilog/synchronizer_flop.v
66 92 mihad
../../../rtl/verilog/pci_async_reset_flop.v
67
../../../rtl/verilog/pci_mas_ch_state_crit.v
68 17 mihad
../../../rtl/verilog/pci_master32_sm_if.v
69
../../../rtl/verilog/pci_target32_trdy_crit.v
70
../../../rtl/verilog/top.v
71
../../../rtl/verilog/pci_rst_int.v
72 92 mihad
../../../rtl/verilog/pci_sync_module.v
73
../../../rtl/verilog/pci_wb_tpram.v
74 106 mihad
../../../rtl/verilog/pci_wbs_wbb3_2_wbb2.v
75 17 mihad
../../../bench/verilog/wb_master32.v
76
../../../bench/verilog/wb_master_behavioral.v
77
../../../bench/verilog/system.v
78
../../../bench/verilog/pci_blue_arbiter.v
79
../../../bench/verilog/pci_bus_monitor.v
80
../../../bench/verilog/pci_behaviorial_device.v
81
../../../bench/verilog/pci_behaviorial_master.v
82
../../../bench/verilog/pci_behaviorial_target.v
83
../../../bench/verilog/wb_slave_behavioral.v
84
../../../bench/verilog/wb_bus_mon.v
85
../../../bench/verilog/pci_unsupported_commands_master.v
86 92 mihad
../../../bench/verilog/pci_behavioral_pci2pci_bridge.v
87 26 mihad
../../../../../../lib/xilinx/lib/glbl/glbl.v
88
../../../../../../lib/xilinx/lib/unisims/RAMB4_S16_S16.v
89
../../../../../../lib/xilinx/lib/unisims/RAM16X1D.v
90 92 mihad
../../../rtl/verilog/pci_ram_16x40d.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.