OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_6/] [sim/] [rtl_sim/] [run/] [run_pci_sim_regr.scr] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 mihad
#!/bin/csh -f
2
 
3 45 mihad
set arg_num = $#argv; # number of arguments
4 17 mihad
 
5 26 mihad
# current iterration
6 17 mihad
set iter = 1;
7 26 mihad
# number of tests with DEFINES + test with user defined constants!
8 104 mihad
set all_iterations = 14;
9 26 mihad
 
10
# variables
11
set iter_failed = 0;
12
set all_iters = 0;
13
set subtest_failed = 0;
14
set sub_tests = 0;
15
set test_failed = 0;
16 17 mihad
set all_tests = 0;
17
 
18
# Process arguments
19
set arg_regression = 0;
20
set arg_xilinx = 0;
21
set arg_artisan = 0;
22 26 mihad
set arg_waves = 0;
23 60 mihad
set arg_vs_hdtp = 0
24 63 mihad
set arg_dis_comp_exp_test = 0
25 106 mihad
set arg_wb_b3 = 0
26
set arg_wbs_non_alligned = 0
27 17 mihad
 
28 45 mihad
@ arg_num     = 1
29
set arg_check = 0
30
 
31 106 mihad
while($arg_num <= $#)
32 63 mihad
 
33 106 mihad
        switch ( $argv[$arg_num] )
34
        case "help":
35
            goto help
36
            breaksw
37 104 mihad
 
38 106 mihad
        case "regression":
39
            @ arg_regression = 1
40
            breaksw
41 17 mihad
 
42 106 mihad
        case "xilinx":
43
            @ arg_xilinx = 1
44
            breaksw
45 104 mihad
 
46 106 mihad
        case "artisan":
47
            @ arg_artisan = 1
48
            breaksw
49 17 mihad
 
50 106 mihad
        case "waves":
51
            @ arg_waves = 1
52
            breaksw
53 60 mihad
 
54 106 mihad
        case "vs_two_port":
55
            @ arg_vs_hdtp = 1
56
            breaksw
57 104 mihad
 
58 106 mihad
        case "disable_completion_expired_tests":
59
            @ arg_dis_comp_exp_test = 1
60
            breaksw
61 63 mihad
 
62 106 mihad
        case "wb_b3":
63
            @ arg_wb_b3 = 1
64
            breaksw
65
 
66
        case "wb_na":
67
            @ arg_wbs_non_alligned = 1
68
            breaksw
69
 
70
        case "iter":
71
            @ arg_num = $arg_num + 1
72
            @ iter    = $argv[$arg_num]
73
            @ all_iterations = $iter
74
            breaksw
75
 
76
        default:
77
            echo "Invalid argument!"
78
            goto help
79
            breaksw
80
        endsw
81
 
82
    @ arg_num++
83 45 mihad
end
84
 
85 17 mihad
# ITERATION LOOP
86
iteration:
87
 
88
echo ""
89
echo "<<<"
90
echo "<<< Iteration ${iter}"
91
echo "<<<"
92
 
93
 
94
if ($arg_regression == 1) then
95 104 mihad
    # Preparing defines into file
96
    if ($iter <= $all_iterations) then
97 63 mihad
 
98 104 mihad
        if ($iter == 1) then
99
            echo "<<< Defines:"
100
 
101
            if ($arg_xilinx == 0) then
102
                echo "\tREGR_FIFO_SMALL_GENERIC, "
103
            else
104
                echo "\tREGR_FIFO_SMALL_XILINX, "
105
            endif
106
 
107
            echo "\tHOST, WB_DECODE_FAST, PCI_DECODE_MAX, "
108
            echo "\tWB_DECODE_MIN, PCI33, WB_CLK10, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
109
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL, PCI_IMAGE0, PCI_IMAGE2. "
110
 
111
            echo "-DEFINE REGRESSION" > ./defines.args
112
 
113
            if ($arg_xilinx == 0) then
114
                echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
115
            else
116
                echo "-DEFINE REGR_FIFO_SMALL_XILINX" >> ./defines.args
117
            endif
118
 
119
            echo "-DEFINE HOST                " >> ./defines.args
120
            echo "-DEFINE WB_DECODE_FAST      " >> ./defines.args
121
            echo "-DEFINE PCI_DECODE_MAX      " >> ./defines.args
122
            echo "-DEFINE WB_DECODE_MIN       " >> ./defines.args
123
            echo "-DEFINE PCI33               " >> ./defines.args
124
            echo "-DEFINE WB_CLK10            " >> ./defines.args
125
            echo "-DEFINE ACTIVE_LOW_OE       " >> ./defines.args
126
            echo "-DEFINE REGISTER_WBM_OUTPUTS" >> ./defines.args
127
            echo "-DEFINE REGISTER_WBS_OUTPUTS" >> ./defines.args
128
            echo "-DEFINE ADDR_TRAN_IMPL      " >> ./defines.args
129
            echo "-DEFINE PCI_IMAGE0          " >> ./defines.args
130
            echo "-DEFINE PCI_IMAGE2          " >> ./defines.args
131
 
132 63 mihad
        endif
133 104 mihad
 
134
        if ($iter == 2) then
135
 
136
            echo "<<< Defines:"
137
 
138
            if ($arg_xilinx == 1) then
139
                echo "\tREGR_FIFO_MEDIUM_XILINX, "
140
            else if ($arg_artisan == 1) then
141
                echo "\tREGR_FIFO_MEDIUM_ARTISAN, "
142
            else
143
                echo "\tREGR_FIFO_MEDIUM_GENERIC, "
144
            endif
145
 
146
            echo "\tHOST, WB_DECODE_MEDIUM, PCI_DECODE_MED, "
147
            echo "\tWB_DECODE_MED, PCI33, WB_CLK66, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
148
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL, PCI_IMAGE0, PCI_IMAGE2, "
149
            echo "\tPCI_IMAGE3, PCI_IMAGE4, PCI_IMAGE5, WB_IMAGE2, WB_IMAGE5. "
150
 
151
            echo "-DEFINE REGRESSION          " > ./defines.args
152
 
153
            if ($arg_xilinx == 1) then
154
                echo "-DEFINE REGR_FIFO_MEDIUM_XILINX" >> ./defines.args
155
            else if ($arg_artisan == 1) then
156
                        echo "-DEFINE REGR_FIFO_MEDIUM_ARTISAN" >> ./defines.args
157
            else
158
                echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
159
            endif
160
 
161
            echo "-DEFINE HOST                " >> ./defines.args
162
            echo "-DEFINE WB_DECODE_MEDIUM    " >> ./defines.args
163
            echo "-DEFINE PCI_DECODE_MED      " >> ./defines.args
164
            echo "-DEFINE WB_DECODE_MED       " >> ./defines.args
165
            echo "-DEFINE PCI33               " >> ./defines.args
166
            echo "-DEFINE WB_CLK66            " >> ./defines.args
167
            echo "-DEFINE ACTIVE_LOW_OE       " >> ./defines.args
168
            echo "-DEFINE REGISTER_WBM_OUTPUTS" >> ./defines.args
169
            echo "-DEFINE REGISTER_WBS_OUTPUTS" >> ./defines.args
170
            echo "-DEFINE ADDR_TRAN_IMPL      " >> ./defines.args
171
            echo "-DEFINE PCI_IMAGE0          " >> ./defines.args
172
            echo "-DEFINE PCI_IMAGE2          " >> ./defines.args
173
            echo "-DEFINE PCI_IMAGE3          " >> ./defines.args
174
            echo "-DEFINE PCI_IMAGE4          " >> ./defines.args
175
            echo "-DEFINE PCI_IMAGE5          " >> ./defines.args
176
            echo "-DEFINE WB_IMAGE2           " >> ./defines.args
177
            echo "-DEFINE WB_IMAGE5           " >> ./defines.args
178 81 mihad
 
179 104 mihad
        endif
180 81 mihad
 
181 104 mihad
        if ($iter == 3) then
182
            echo "<<< Defines:"
183
            echo "\tHOST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MIN, "
184
            echo "\tWB_DECODE_MAX, PCI66, WB_CLK66, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
185
            echo "\tREGISTER_WBS_OUTPUTS, WB_IMAGE5. "
186
 
187
            echo "-DEFINE REGRESSION             " >  ./defines.args
188 81 mihad
 
189 104 mihad
            echo "-DEFINE HOST                   " >> ./defines.args
190
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
191
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
192
            echo "-DEFINE PCI_DECODE_MIN         " >> ./defines.args
193
            echo "-DEFINE WB_DECODE_MAX          " >> ./defines.args
194
            echo "-DEFINE PCI66                  " >> ./defines.args
195
            echo "-DEFINE WB_CLK66               " >> ./defines.args
196
            echo "-DEFINE ACTIVE_LOW_OE          " >> ./defines.args
197
            echo "-DEFINE REGISTER_WBM_OUTPUTS   " >> ./defines.args
198
            echo "-DEFINE REGISTER_WBS_OUTPUTS   " >> ./defines.args
199
            echo "-DEFINE WB_IMAGE5              " >> ./defines.args
200
 
201
        endif
202
 
203
        if ($iter == 4) then
204
            echo "<<< Defines:"
205
            echo "\tGUEST, REGR_FIFO_SMALL_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MED, "
206
            echo "\tWB_DECODE_MIN, PCI66, WB_CLK220, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
207
            echo "\tREGISTER_WBS_OUTPUTS, PCI_IMAGE0, PCI_IMAGE5, WB_IMAGE4. "
208
 
209
            echo "-DEFINE REGRESSION" > ./defines.args
210
 
211
            echo "-DEFINE GUEST                  " >> ./defines.args
212
            echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
213
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
214
            echo "-DEFINE PCI_DECODE_MED         " >> ./defines.args
215
            echo "-DEFINE WB_DECODE_MIN          " >> ./defines.args
216
            echo "-DEFINE PCI66                  " >> ./defines.args
217
            echo "-DEFINE WB_CLK220              " >> ./defines.args
218
            echo "-DEFINE ACTIVE_LOW_OE          " >> ./defines.args
219
            echo "-DEFINE REGISTER_WBM_OUTPUTS   " >> ./defines.args
220
            echo "-DEFINE REGISTER_WBS_OUTPUTS   " >> ./defines.args
221
            echo "-DEFINE PCI_IMAGE0             " >> ./defines.args
222
            echo "-DEFINE PCI_IMAGE5             " >> ./defines.args
223
            echo "-DEFINE WB_IMAGE4              " >> ./defines.args
224
        endif
225
 
226
        if ($iter == 5) then
227
            echo "<<< Defines:"
228
 
229
            if ($arg_artisan == 1) then
230
                echo "\tREGR_FIFO_MEDIUM_ARTISAN, "
231
            else
232
                echo "\tREGR_FIFO_MEDIUM_GENERIC, "
233
            endif
234
 
235
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MIN, "
236
            echo "\tWB_DECODE_MAX, PCI33, WB_CLK220, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
237
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL, PCI_IMAGE0, PCI_IMAGE2, "
238
            echo "\tWB_IMAGE2, WB_IMAGE3, WB_IMAGE4. "
239
 
240
            echo "-DEFINE REGRESSION" > ./defines.args
241
 
242
            if ($arg_artisan == 1) then
243
                        echo "-DEFINE REGR_FIFO_MEDIUM_ARTISAN" >> ./defines.args
244
            else
245
                echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
246
            endif
247
 
248
            echo "-DEFINE GUEST                   " >> ./defines.args
249
            echo "-DEFINE WB_DECODE_FAST          " >> ./defines.args
250
            echo "-DEFINE PCI_DECODE_MIN          " >> ./defines.args
251
            echo "-DEFINE WB_DECODE_MAX           " >> ./defines.args
252
            echo "-DEFINE PCI33                   " >> ./defines.args
253
            echo "-DEFINE WB_CLK220               " >> ./defines.args
254
            echo "-DEFINE ACTIVE_LOW_OE           " >> ./defines.args
255
            echo "-DEFINE REGISTER_WBM_OUTPUTS    " >> ./defines.args
256
            echo "-DEFINE REGISTER_WBS_OUTPUTS    " >> ./defines.args
257
            echo "-DEFINE ADDR_TRAN_IMPL          " >> ./defines.args
258
            echo "-DEFINE PCI_IMAGE0              " >> ./defines.args
259
            echo "-DEFINE PCI_IMAGE2              " >> ./defines.args
260
            echo "-DEFINE WB_IMAGE2               " >> ./defines.args
261
            echo "-DEFINE WB_IMAGE3               " >> ./defines.args
262
            echo "-DEFINE WB_IMAGE4               " >> ./defines.args
263
        endif
264
 
265
        if ($iter == 6) then
266
            echo "<<< Defines:"
267
            echo "\tGUEST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_MEDIUM, PCI_DECODE_MAX, "
268
            echo "\tWB_DECODE_MED, PCI66, WB_CLK10, ACTIVE_LOW_OE, REGISTER_WBM_OUTPUTS, "
269
            echo "\tREGISTER_WBS_OUTPUTS, ADDR_TRAN_IMPL. "
270
 
271
            echo "-DEFINE REGRESSION" > ./defines.args
272
 
273
            echo "-DEFINE GUEST                  " >> ./defines.args
274
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
275
            echo "-DEFINE WB_DECODE_MEDIUM       " >> ./defines.args
276
            echo "-DEFINE PCI_DECODE_MAX         " >> ./defines.args
277
            echo "-DEFINE WB_DECODE_MED          " >> ./defines.args
278
            echo "-DEFINE PCI66                  " >> ./defines.args
279
            echo "-DEFINE WB_CLK10               " >> ./defines.args
280
            echo "-DEFINE ACTIVE_LOW_OE          " >> ./defines.args
281
            echo "-DEFINE REGISTER_WBM_OUTPUTS   " >> ./defines.args
282
            echo "-DEFINE REGISTER_WBS_OUTPUTS   " >> ./defines.args
283
            echo "-DEFINE ADDR_TRAN_IMPL         " >> ./defines.args
284
        endif
285
 
286
        if ($iter == 7) then
287
            echo "<<< Defines:"
288
 
289
            if ($arg_xilinx == 0) then
290
                echo "\tREGR_FIFO_SMALL_GENERIC, "
291
            else
292
                echo "\tREGR_FIFO_SMALL_XILINX, "
293
            endif
294
 
295
            echo "\tHOST, WB_DECODE_FAST, PCI_DECODE_MAX, "
296
            echo "\tWB_DECODE_MIN, PCI66, WB_CLK220, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
297
            echo "\tNO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE4. "
298
 
299
            echo "-DEFINE REGRESSION" > ./defines.args
300
 
301
            if ($arg_xilinx == 0) then
302
                echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
303
            else
304
                echo "-DEFINE REGR_FIFO_SMALL_XILINX" >> ./defines.args
305
            endif
306
 
307
            echo "-DEFINE HOST            " >> ./defines.args
308
            echo "-DEFINE WB_DECODE_FAST  " >> ./defines.args
309
            echo "-DEFINE PCI_DECODE_MAX  " >> ./defines.args
310
            echo "-DEFINE WB_DECODE_MIN   " >> ./defines.args
311
            echo "-DEFINE PCI66           " >> ./defines.args
312
            echo "-DEFINE WB_CLK220       " >> ./defines.args
313
            echo "-DEFINE ACTIVE_HIGH_OE  " >> ./defines.args
314
            echo "-DEFINE WB_CNF_BASE_ZERO" >> ./defines.args
315
            echo "-DEFINE NO_CNF_IMAGE    " >> ./defines.args
316
            echo "-DEFINE PCI_IMAGE0      " >> ./defines.args
317
            echo "-DEFINE PCI_IMAGE4      " >> ./defines.args
318
        endif
319
 
320
        if ($iter == 8) then
321
            echo "<<< Defines:"
322
            echo "\tHOST, REGR_FIFO_MEDIUM_GENERIC, WB_DECODE_MEDIUM, PCI_DECODE_MED, "
323
            echo "\tWB_DECODE_MED, PCI66, WB_CLK10, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
324
            echo "\tNO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE2, PCI_IMAGE3, PCI_IMAGE4, "
325
            echo "\tPCI_IMAGE5, WB_IMAGE2, WB_IMAGE3, WB_IMAGE4, WB_IMAGE5. "
326
 
327
            echo "-DEFINE REGRESSION" > ./defines.args
328
 
329
            echo "-DEFINE HOST                    " >> ./defines.args
330
            echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
331
            echo "-DEFINE WB_DECODE_MEDIUM        " >> ./defines.args
332
            echo "-DEFINE PCI_DECODE_MED          " >> ./defines.args
333
            echo "-DEFINE WB_DECODE_MED           " >> ./defines.args
334
            echo "-DEFINE PCI66                   " >> ./defines.args
335
            echo "-DEFINE WB_CLK10                " >> ./defines.args
336
            echo "-DEFINE ACTIVE_HIGH_OE          " >> ./defines.args
337
            echo "-DEFINE WB_CNF_BASE_ZERO        " >> ./defines.args
338
            echo "-DEFINE NO_CNF_IMAGE            " >> ./defines.args
339
            echo "-DEFINE PCI_IMAGE0              " >> ./defines.args
340
            echo "-DEFINE PCI_IMAGE2              " >> ./defines.args
341
            echo "-DEFINE PCI_IMAGE3              " >> ./defines.args
342
            echo "-DEFINE PCI_IMAGE4              " >> ./defines.args
343
            echo "-DEFINE PCI_IMAGE5              " >> ./defines.args
344
            echo "-DEFINE WB_IMAGE2               " >> ./defines.args
345
            echo "-DEFINE WB_IMAGE3               " >> ./defines.args
346
            echo "-DEFINE WB_IMAGE4               " >> ./defines.args
347
            echo "-DEFINE WB_IMAGE5               " >> ./defines.args
348
        endif
349
 
350
        if ($iter == 9) then
351
            echo "<<< Defines:"
352
            echo "\tHOST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MIN, "
353
            echo "\tWB_DECODE_MAX, PCI33, WB_CLK220, ACTIVE_HIGH_OE, ADDR_TRAN_IMPL, "
354
            echo "\tWB_CNF_BASE_ZERO, NO_CNF_IMAGE, WB_IMAGE3. "
355
 
356
            echo "-DEFINE REGRESSION" > ./defines.args
357
 
358
            echo "-DEFINE HOST                   " >> ./defines.args
359
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
360
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
361
            echo "-DEFINE PCI_DECODE_MIN         " >> ./defines.args
362
            echo "-DEFINE WB_DECODE_MAX          " >> ./defines.args
363
            echo "-DEFINE PCI33                  " >> ./defines.args
364
            echo "-DEFINE WB_CLK220              " >> ./defines.args
365
            echo "-DEFINE ACTIVE_HIGH_OE         " >> ./defines.args
366
            echo "-DEFINE ADDR_TRAN_IMPL         " >> ./defines.args
367
            echo "-DEFINE WB_CNF_BASE_ZERO       " >> ./defines.args
368
            echo "-DEFINE NO_CNF_IMAGE           " >> ./defines.args
369
            echo "-DEFINE WB_IMAGE3              " >> ./defines.args
370
        endif
371
 
372
        if ($iter == 10) then
373
            echo "<<< Defines:"
374
            echo "\tGUEST, REGR_FIFO_SMALL_GENERIC, WB_DECODE_SLOW, PCI_DECODE_MED, "
375
            echo "\tWB_DECODE_MIN, PCI33, WB_CLK66, ACTIVE_HIGH_OE, ADDR_TRAN_IMPL, "
376
            echo "\tWB_CNF_BASE_ZERO, NO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE3. "
377
 
378
            echo "-DEFINE REGRESSION" > ./defines.args
379
 
380
            echo "-DEFINE GUEST                  " >> ./defines.args
381
            echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
382
            echo "-DEFINE WB_DECODE_SLOW         " >> ./defines.args
383
            echo "-DEFINE PCI_DECODE_MED         " >> ./defines.args
384
            echo "-DEFINE WB_DECODE_MIN          " >> ./defines.args
385
            echo "-DEFINE PCI33                  " >> ./defines.args
386
            echo "-DEFINE WB_CLK66               " >> ./defines.args
387
            echo "-DEFINE ACTIVE_HIGH_OE         " >> ./defines.args
388
            echo "-DEFINE ADDR_TRAN_IMPL         " >> ./defines.args
389
            echo "-DEFINE WB_CNF_BASE_ZERO       " >> ./defines.args
390
            echo "-DEFINE NO_CNF_IMAGE           " >> ./defines.args
391
            echo "-DEFINE PCI_IMAGE0             " >> ./defines.args
392
            echo "-DEFINE PCI_IMAGE3             " >> ./defines.args
393
        endif
394
 
395
        if ($iter == 11) then
396
            echo "<<< Defines:"
397
 
398
            if ($arg_xilinx == 1) then
399
                echo "\tREGR_FIFO_MEDIUM_XILINX, "
400
            else if ($arg_artisan == 1) then
401
                echo "\tREGR_FIFO_MEDIUM_ARTISAN, "
402
            else
403
                echo "\tREGR_FIFO_MEDIUM_GENERIC, "
404
            endif
405
 
406
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MIN, "
407
            echo "\tWB_DECODE_MAX, PCI66, WB_CLK66, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
408
            echo "\tNO_CNF_IMAGE, PCI_IMAGE0, PCI_IMAGE2, PCI_IMAGE3, PCI_IMAGE4, "
409
            echo "\tPCI_IMAGE5, WB_IMAGE2. "
410
 
411
            echo "-DEFINE REGRESSION" > ./defines.args
412
 
413
            if ($arg_xilinx == 1) then
414
                echo "-DEFINE REGR_FIFO_MEDIUM_XILINX" >> ./defines.args
415
            else if ($arg_artisan == 1) then
416
                        echo "-DEFINE REGR_FIFO_MEDIUM_ARTISAN" >> ./defines.args
417
            else
418
                echo "-DEFINE REGR_FIFO_MEDIUM_GENERIC" >> ./defines.args
419
            endif
420
 
421
            echo "-DEFINE GUEST           " >> ./defines.args
422
            echo "-DEFINE WB_DECODE_FAST  " >> ./defines.args
423
            echo "-DEFINE PCI_DECODE_MIN  " >> ./defines.args
424
            echo "-DEFINE WB_DECODE_MAX   " >> ./defines.args
425
            echo "-DEFINE PCI66           " >> ./defines.args
426
            echo "-DEFINE WB_CLK66        " >> ./defines.args
427
            echo "-DEFINE ACTIVE_HIGH_OE  " >> ./defines.args
428
            echo "-DEFINE WB_CNF_BASE_ZERO" >> ./defines.args
429
            echo "-DEFINE NO_CNF_IMAGE    " >> ./defines.args
430
            echo "-DEFINE PCI_IMAGE0      " >> ./defines.args
431
            echo "-DEFINE PCI_IMAGE2      " >> ./defines.args
432
            echo "-DEFINE PCI_IMAGE3      " >> ./defines.args
433
            echo "-DEFINE PCI_IMAGE4      " >> ./defines.args
434
            echo "-DEFINE PCI_IMAGE5      " >> ./defines.args
435
            echo "-DEFINE WB_IMAGE2       " >> ./defines.args
436
        endif
437
 
438
        if ($iter == 12) then
439
            echo "<<< Defines:"
440
            echo "\tGUEST, REGR_FIFO_LARGE_GENERIC, WB_DECODE_MEDIUM, PCI_DECODE_MAX, "
441
            echo "\tWB_DECODE_MED, PCI33, WB_CLK10, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
442
            echo "\tNO_CNF_IMAGE, WB_IMAGE2, WB_IMAGE3, WB_IMAGE4, WB_IMAGE5. "
443
 
444
            echo "-DEFINE REGRESSION" > ./defines.args
445
 
446
            echo "-DEFINE GUEST                  " >> ./defines.args
447
            echo "-DEFINE REGR_FIFO_LARGE_GENERIC" >> ./defines.args
448
            echo "-DEFINE WB_DECODE_MEDIUM       " >> ./defines.args
449
            echo "-DEFINE PCI_DECODE_MAX         " >> ./defines.args
450
            echo "-DEFINE WB_DECODE_MED          " >> ./defines.args
451
            echo "-DEFINE PCI33                  " >> ./defines.args
452
            echo "-DEFINE WB_CLK10               " >> ./defines.args
453
            echo "-DEFINE ACTIVE_HIGH_OE         " >> ./defines.args
454
            echo "-DEFINE WB_CNF_BASE_ZERO       " >> ./defines.args
455
            echo "-DEFINE NO_CNF_IMAGE           " >> ./defines.args
456
            echo "-DEFINE WB_IMAGE2              " >> ./defines.args
457
            echo "-DEFINE WB_IMAGE3              " >> ./defines.args
458
            echo "-DEFINE WB_IMAGE4              " >> ./defines.args
459
            echo "-DEFINE WB_IMAGE5              " >> ./defines.args
460
 
461
        endif
462
 
463
        if ($iter == 13) then
464
 
465
            echo "<<< Defines:"
466
 
467
            if ($arg_xilinx == 0) then
468
                echo "\tREGR_FIFO_SMALL_GENERIC, "
469
            else
470
                echo "\tREGR_FIFO_SMALL_XILINX, "
471
            endif
472
 
473
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MAX, "
474
            echo "\tWB_DECODE_MED, PCI66, WB_CLOCK_FOLLOWS_PCI_CLOCK, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
475
            echo "\tNO_CNF_IMAGE "
476
 
477
            echo "-DEFINE REGRESSION" > ./defines.args
478
 
479
            if ($arg_xilinx == 0) then
480
                echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
481
            else
482
                echo "-DEFINE REGR_FIFO_SMALL_XILINX" >> ./defines.args
483
            endif
484
 
485
            echo "-DEFINE GUEST                       " >> ./defines.args
486
            echo "-DEFINE WB_DECODE_FAST              " >> ./defines.args
487
            echo "-DEFINE PCI_DECODE_MAX              " >> ./defines.args
488
            echo "-DEFINE WB_DECODE_MED               " >> ./defines.args
489
            echo "-DEFINE PCI66                       " >> ./defines.args
490
            echo "-DEFINE WB_CLK66                    " >> ./defines.args
491
            echo "-DEFINE ACTIVE_HIGH_OE              " >> ./defines.args
492
            echo "-DEFINE WB_CNF_BASE_ZERO            " >> ./defines.args
493
            echo "-DEFINE NO_CNF_IMAGE                " >> ./defines.args
494
            echo "-DEFINE WB_CLOCK_FOLLOWS_PCI_CLOCK=2" >> ./defines.args
495
 
496
        endif
497
 
498
        if ($iter == 14) then
499
 
500
            echo "<<< Defines:"
501
 
502
            echo "\tREGR_FIFO_SMALL_GENERIC, "
503
 
504
            echo "\tGUEST, WB_DECODE_FAST, PCI_DECODE_MAX, "
505
            echo "\tWB_DECODE_MED, PCI66, WB_CLOCK_FOLLOWS_PCI_CLOCK, ACTIVE_HIGH_OE, WB_CNF_BASE_ZERO, "
506
            echo "\tNO_CNF_IMAGE "
507
 
508
            echo "-DEFINE REGRESSION" > ./defines.args
509
 
510
            echo "-DEFINE REGR_FIFO_SMALL_GENERIC" >> ./defines.args
511
 
512
            echo "-DEFINE GUEST                       " >> ./defines.args
513
            echo "-DEFINE WB_DECODE_FAST              " >> ./defines.args
514
            echo "-DEFINE PCI_DECODE_MAX              " >> ./defines.args
515
            echo "-DEFINE WB_DECODE_MED               " >> ./defines.args
516
            echo "-DEFINE PCI66                       " >> ./defines.args
517
            echo "-DEFINE WB_CLK66                    " >> ./defines.args
518
            echo "-DEFINE ACTIVE_HIGH_OE              " >> ./defines.args
519
            echo "-DEFINE WB_CNF_BASE_ZERO            " >> ./defines.args
520
            echo "-DEFINE NO_CNF_IMAGE                " >> ./defines.args
521
            echo "-DEFINE PCI_CLOCK_FOLLOWS_WB_CLOCK=2" >> ./defines.args
522
 
523
        endif
524
 
525
        if ($arg_dis_comp_exp_test) then
526 81 mihad
            echo "-DEFINE DISABLE_COMPLETION_EXPIRED_TESTS" >> ./defines.args
527 104 mihad
        endif
528 106 mihad
 
529
        if ($arg_wb_b3) then
530
            echo "-DEFINE PCI_WB_REV_B3" >> ./defines.args
531
        endif
532
 
533
        if ($arg_wbs_non_alligned) then
534
            echo "-DEFINE PCI_WBS_ALLOW_NON_ALLIGNED_CONFIG_ACCESS" >> ./defines.args
535
        endif
536
 
537 104 mihad
    endif
538 17 mihad
endif
539
 
540
 
541
# Run NC-Verilog compiler
542
echo ""
543
echo "\t@@@"
544
echo "\t@@@ Compiling sources"
545
echo "\t@@@"
546
 
547
# creating .args file for ncvlog and adding main parameters
548
echo "-cdslib ../bin/cds.lib" > ./ncvlog.args
549
echo "-hdlvar ../bin/hdl.var" >> ./ncvlog.args
550
echo "-logfile ../log/ncvlog.log" >> ./ncvlog.args
551
echo "-update" >> ./ncvlog.args
552
echo "-messages" >> ./ncvlog.args
553
echo "-INCDIR ../../../bench/verilog" >> ./ncvlog.args
554
echo "-INCDIR ../../../rtl/verilog" >> ./ncvlog.args
555
# adding defines to .args file
556 104 mihad
if (($arg_regression == 1) && ($iter <= $all_iterations)) then
557 17 mihad
    cat ./defines.args >> ./ncvlog.args
558
endif
559
# adding RTL and Sim files to .args file
560
cat ../bin/rtl_file_list.lst >> ./ncvlog.args
561
cat ../bin/sim_file_list.lst >> ./ncvlog.args
562
# adding device dependent files to .args file
563 60 mihad
if ($arg_xilinx == 1) then
564
    cat ../bin/xilinx_file_list.lst >> ./ncvlog.args
565
endif
566
 
567
if ($arg_artisan == 1) then
568
    cat ../bin/artisan_file_list.lst >> ./ncvlog.args
569
endif
570
 
571
if ($arg_vs_hdtp == 1) then
572
    cat ../bin/vs_file_list.lst >> ./ncvlog.args
573
endif
574
 
575 26 mihad
ncvlog -file ./ncvlog.args > /dev/null;
576 17 mihad
echo ""
577
 
578
 
579
# Run the NC-Verilog elaborator (build the design hierarchy)
580
echo ""
581
echo "\t@@@"
582
echo "\t@@@ Building design hierarchy (elaboration)"
583
echo "\t@@@"
584
if ($arg_xilinx == 1) then
585 26 mihad
      ncelab -file ../bin/ncelab_xilinx.args > /dev/null;
586 17 mihad
else
587 26 mihad
      ncelab -file ../bin/ncelab.args > /dev/null;
588 17 mihad
endif
589
echo ""
590
 
591
 
592
# Run the NC-Verilog simulator (simulate the design)
593
echo ""
594
echo "\t###"
595 26 mihad
echo "\t### Running tests (this takes a long time!)"
596 17 mihad
echo "\t###"
597 26 mihad
 
598
# creating ncsim.args file for ncsim and adding main parameters
599
echo "-cdslib ../bin/cds.lib" > ./ncsim.args
600
echo "-hdlvar ../bin/hdl.var" >> ./ncsim.args
601 92 mihad
echo "-licqueue" >> ./ncsim.args
602 26 mihad
echo "-logfile ../log/ncsim.log" >> ./ncsim.args
603
echo "-messages" >> ./ncsim.args
604 73 mihad
echo "-tcl" >> ./ncsim.args
605 26 mihad
if ($arg_waves == 1) then
606
  echo "-input ../bin/ncsim_waves.rc" >> ./ncsim.args
607
else
608
  echo "-input ../bin/ncsim.rc" >> ./ncsim.args
609
endif
610
echo "worklib.bridge32:fun" >> ./ncsim.args
611
 
612 106 mihad
ncsim -file ./ncsim.args > /dev/null
613 45 mihad
 
614 17 mihad
if ($status != 0) then
615 26 mihad
  echo ""
616
  echo "TESTS couldn't start due to Errors!"
617
  echo ""
618 17 mihad
  exit
619
else
620 26 mihad
  grep -c "FAILED" ../log/pci_tb.log > ./result_fail.out
621
  grep -c "SUCCESSFULL" ../log/pci_tb.log > ./result_succ.out
622
 
623
  set subtest_failed = `tail -1 result_fail.out`;
624
  set sub_tests = `tail -1 result_succ.out`;
625
  @ sub_tests = $sub_tests + $subtest_failed;
626
 
627
  if ($subtest_failed != 0) then
628
    echo "\t### FAILED $subtest_failed out of $sub_tests testcases of $iter. iteration!"
629
    echo "\t###"
630
    @ iter_failed += 1;
631
    @ all_iters += 1;
632
  else
633
    echo "\t### Passed all $sub_tests testcases of $iter. iteration!"
634
    echo "\t###"
635
    @ all_iters += 1;
636
  endif
637
 
638 104 mihad
  if (($arg_regression == 1) && ($iter <= $all_iterations)) then
639 26 mihad
    if ($arg_waves == 1) then
640
      mv ../out/waves.shm ../out/i${iter}_waves.shm
641
    endif
642
    mv ../log/pci_mon.log ../log/i${iter}_pci_mon.log
643
    mv ../log/pci_tb.log ../log/i${iter}_pci_tb.log
644
    mv ../log/pciu_mon.log ../log/i${iter}_pciu_mon.log
645
    mv ../log/wbu_mon.log ../log/i${iter}_wbu_mon.log
646
    mv ../log/ncsim.log ../log/i${iter}_ncsim.log
647 104 mihad
    mv ../log/ncvlog.log ../log/i${iter}_ncvlog.log
648
    mv ../log/ncelab.log ../log/i${iter}_ncelab.log
649 26 mihad
  endif
650 17 mihad
endif
651
echo ""
652
 
653 26 mihad
@ test_failed = $test_failed + $subtest_failed;
654
@ all_tests = $all_tests + $sub_tests;
655 17 mihad
 
656
@ iter += 1;
657
 
658 26 mihad
if (($arg_regression == 1) && ($iter <= $all_iterations)) then
659 45 mihad
    rm -f ../bin/INCA_libs/worklib/*
660
    rm -f ../bin/INCA_libs/worklib/.*
661 26 mihad
    goto iteration
662 17 mihad
else
663 26 mihad
    rm ./defines.args
664
    echo ""
665
    echo "<<<"
666
    echo "<<< End of Regression Iterations"
667
    echo "<<<"
668
    echo "<<<"
669
    echo "<<< FAILED $iter_failed out of $all_iters iterations!"
670
    echo "<<<"
671
    echo "<<< FAILED $test_failed out of $all_tests testcases!"
672
    echo "<<<"
673
    echo "<<< -------------------------------------------------"
674
    echo "<<<"
675
    echo "<<< See following files for detailed test results:"
676
    echo "<<<   ../log/*pci_tb.log "
677
    echo "<<<   ../log/*pci_mon.log "
678
    echo "<<<   ../log/*pciu_mon.log "
679
    echo "<<<   ../log/*wbu_mon.log "
680
    echo "<<<   ../log/*ncsim.log "
681
    echo "<<<"
682 17 mihad
endif
683 63 mihad
exit
684 17 mihad
 
685 63 mihad
help:
686
        echo ""
687
        echo "Script arguments:"
688
        echo ""
689
        echo "regression  : run all implemented regression iterations on PCI Bridge Design"
690
        echo ""
691
        echo "xilinx      : if you want to run simulation with xilinx RAM primitives. You have to provide RAM16X1D.v, RAMB4_S16_S16.v and glbl.v simulation files."
692
        echo "            : you have to edit ../bin/xilinx_file_list.lst file with the correct paths to these files. Leave other paths as they are!"
693
        echo ""
694
        echo "artisan     : if you want to run simulation with artisan ASIC library vendor RAM primitives. You have to provide simulation models for these, edit ../bin/artisan_file_list.lst,"
695
        echo "            : and optionaly ../../../rtl/verilog/pci_user_constants.v, ../../../bench/verilog/pci_regression_constants.v, ../../../rtl/verilog/wb_tpram.v and ../../../rtl/verilog/pci_tpram.v"
696
        echo ""
697
        echo "waves       : if you want to dump all the signals in the testbench to the signalscan output file in ../out/ directory"
698
        echo ""
699
        echo "vs_two_port : if you want to run simulation with virtual silicon ASIC library vendor RAM primitives. You have to provide simulation models for these, edit ../bin/vs_file_list.lst,"
700
        echo "            : and optionaly ../../../rtl/verilog/pci_user_constants.v, ../../../bench/verilog/pci_regression_constants.v, ../../../rtl/verilog/wb_tpram.v and ../../../rtl/verilog/pci_tpram.v"
701
        echo ""
702
        echo "disable_completion_expired_tests : if you want to disable completion expiration testing during regression run, because these are time consuming tests"
703
        echo ""
704
exit
705
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.