OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_7/] [rtl/] [verilog/] [pci_bridge32.v] - Blame information for rev 106

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "pci_bridge32.v"                                  ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////      - Tadej Markovic (tadej@opencores.org)                  ////
11
////                                                              ////
12
////  All additional information is avaliable in the README       ////
13
////  file.                                                       ////
14
////                                                              ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
19
////                                                              ////
20
//// This source file may be used and distributed without         ////
21
//// restriction provided that this copyright statement is not    ////
22
//// removed from the file and that any derivative work contains  ////
23
//// the original copyright notice and the associated disclaimer. ////
24
////                                                              ////
25
//// This source file is free software; you can redistribute it   ////
26
//// and/or modify it under the terms of the GNU Lesser General   ////
27
//// Public License as published by the Free Software Foundation; ////
28
//// either version 2.1 of the License, or (at your option) any   ////
29
//// later version.                                               ////
30
////                                                              ////
31
//// This source is distributed in the hope that it will be       ////
32
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
33
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
34
//// PURPOSE.  See the GNU Lesser General Public License for more ////
35
//// details.                                                     ////
36
////                                                              ////
37
//// You should have received a copy of the GNU Lesser General    ////
38
//// Public License along with this source; if not, download it   ////
39
//// from http://www.opencores.org/lgpl.shtml                     ////
40
////                                                              ////
41
//////////////////////////////////////////////////////////////////////
42
//
43
// CVS Revision History
44
//
45
// $Log: not supported by cvs2svn $
46 106 mihad
// Revision 1.9  2003/01/27 16:49:31  mihad
47
// Changed module and file names. Updated scripts accordingly. FIFO synchronizations changed.
48
//
49 77 mihad
// Revision 1.8  2002/10/21 13:04:33  mihad
50
// Changed BIST signal names etc..
51
//
52 69 mihad
// Revision 1.7  2002/10/18 03:36:37  tadejm
53
// Changed wrong signal name scanb_sen into scanb_en.
54
//
55 68 tadejm
// Revision 1.6  2002/10/17 22:51:50  tadejm
56
// Changed BIST signals for RAMs.
57
//
58 67 tadejm
// Revision 1.5  2002/10/11 10:09:01  mihad
59
// Added additional testcase and changed rst name in BIST to trst
60
//
61 63 mihad
// Revision 1.4  2002/10/08 17:17:05  mihad
62
// Added BIST signals for RAMs.
63
//
64 62 mihad
// Revision 1.3  2002/02/01 15:25:12  mihad
65
// Repaired a few bugs, updated specification, added test bench files and design document
66
//
67 21 mihad
// Revision 1.2  2001/10/05 08:14:28  mihad
68
// Updated all files with inclusion of timescale file for simulation purposes.
69
//
70 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
71
// New project directory structure
72 2 mihad
//
73 6 mihad
//
74 2 mihad
 
75 21 mihad
`include "pci_constants.v"
76
 
77
// synopsys translate_off
78 6 mihad
`include "timescale.v"
79 21 mihad
// synopsys translate_on
80 2 mihad
 
81
// this is top level module of pci bridge core
82
// it instantiates and connects other lower level modules
83
// check polarity of PCI output enables in file out_reg.v and change it according to IO interface specification
84
 
85 77 mihad
module pci_bridge32
86 2 mihad
(
87
    // WISHBONE system signals
88 77 mihad
    wb_clk_i,
89
    wb_rst_i,
90
    wb_rst_o,
91
    wb_int_i,
92
    wb_int_o,
93 2 mihad
 
94
    // WISHBONE slave interface
95 77 mihad
    wbs_adr_i,
96
    wbs_dat_i,
97
    wbs_dat_o,
98
    wbs_sel_i,
99
    wbs_cyc_i,
100
    wbs_stb_i,
101
    wbs_we_i,
102 106 mihad
 
103
`ifdef PCI_WB_REV_B3
104
 
105
    wbs_cti_i,
106
    wbs_bte_i,
107
 
108
`else
109
 
110 77 mihad
    wbs_cab_i,
111 106 mihad
 
112
`endif
113
 
114 77 mihad
    wbs_ack_o,
115
    wbs_rty_o,
116
    wbs_err_o,
117 2 mihad
 
118
    // WISHBONE master interface
119 77 mihad
    wbm_adr_o,
120
    wbm_dat_i,
121
    wbm_dat_o,
122
    wbm_sel_o,
123
    wbm_cyc_o,
124
    wbm_stb_o,
125
    wbm_we_o,
126
    wbm_cab_o,
127
    wbm_ack_i,
128
    wbm_rty_i,
129
    wbm_err_i,
130 2 mihad
 
131
    // pci interface - system pins
132 77 mihad
    pci_clk_i,
133
    pci_rst_i,
134
    pci_rst_o,
135
    pci_inta_i,
136
    pci_inta_o,
137
    pci_rst_oe_o,
138
    pci_inta_oe_o,
139 2 mihad
 
140
    // arbitration pins
141 77 mihad
    pci_req_o,
142
    pci_req_oe_o,
143 2 mihad
 
144 77 mihad
    pci_gnt_i,
145 2 mihad
 
146
    // protocol pins
147 77 mihad
    pci_frame_i,
148
    pci_frame_o,
149 2 mihad
 
150 77 mihad
    pci_frame_oe_o,
151
    pci_irdy_oe_o,
152
    pci_devsel_oe_o,
153
    pci_trdy_oe_o,
154
    pci_stop_oe_o,
155
    pci_ad_oe_o,
156
    pci_cbe_oe_o,
157 2 mihad
 
158 77 mihad
    pci_irdy_i,
159
    pci_irdy_o,
160 2 mihad
 
161 77 mihad
    pci_idsel_i,
162 2 mihad
 
163 77 mihad
    pci_devsel_i,
164
    pci_devsel_o,
165 2 mihad
 
166 77 mihad
    pci_trdy_i,
167
    pci_trdy_o,
168 21 mihad
 
169 77 mihad
    pci_stop_i,
170
    pci_stop_o          ,
171 21 mihad
 
172
    // data transfer pins
173 77 mihad
    pci_ad_i,
174
    pci_ad_o,
175 21 mihad
 
176 77 mihad
    pci_cbe_i,
177
    pci_cbe_o,
178 2 mihad
 
179
    // parity generation and checking pins
180 77 mihad
    pci_par_i,
181
    pci_par_o,
182
    pci_par_oe_o,
183 2 mihad
 
184 77 mihad
    pci_perr_i,
185
    pci_perr_o,
186
    pci_perr_oe_o,
187 2 mihad
 
188
    // system error pin
189 77 mihad
    pci_serr_o,
190
    pci_serr_oe_o
191 62 mihad
 
192
`ifdef PCI_BIST
193
    ,
194
    // debug chain signals
195 67 tadejm
    scanb_rst,      // bist scan reset
196
    scanb_clk,      // bist scan clock
197
    scanb_si,       // bist scan serial in
198
    scanb_so,       // bist scan serial out
199 68 tadejm
    scanb_en        // bist scan shift enable
200 62 mihad
`endif
201 2 mihad
);
202
 
203
// WISHBONE system signals
204 77 mihad
input   wb_clk_i ;
205
input   wb_rst_i ;
206
output  wb_rst_o ;
207
input   wb_int_i ;
208
output  wb_int_o ;
209 2 mihad
 
210
// WISHBONE slave interface
211 77 mihad
input   [31:0]  wbs_adr_i ;
212
input   [31:0]  wbs_dat_i ;
213
output  [31:0]  wbs_dat_o ;
214
input   [3:0]   wbs_sel_i ;
215
input           wbs_cyc_i ;
216
input           wbs_stb_i ;
217
input           wbs_we_i ;
218 106 mihad
 
219
`ifdef PCI_WB_REV_B3
220
 
221
input [2:0] wbs_cti_i ;
222
input [1:0] wbs_bte_i ;
223
 
224
`else
225
 
226
input wbs_cab_i ;
227
 
228
`endif
229
 
230 77 mihad
output          wbs_ack_o ;
231
output          wbs_rty_o ;
232
output          wbs_err_o ;
233 2 mihad
 
234
// WISHBONE master interface
235 77 mihad
output  [31:0]  wbm_adr_o ;
236
input   [31:0]  wbm_dat_i ;
237
output  [31:0]  wbm_dat_o ;
238
output  [3:0]   wbm_sel_o ;
239
output          wbm_cyc_o ;
240
output          wbm_stb_o ;
241
output          wbm_we_o ;
242
output          wbm_cab_o ;
243
input           wbm_ack_i ;
244
input           wbm_rty_i ;
245
input           wbm_err_i ;
246 2 mihad
 
247
// pci interface - system pins
248 77 mihad
input   pci_clk_i ;
249
input   pci_rst_i ;
250
output  pci_rst_o ;
251
output  pci_rst_oe_o ;
252 2 mihad
 
253 77 mihad
input   pci_inta_i ;
254
output  pci_inta_o ;
255
output  pci_inta_oe_o ;
256 2 mihad
 
257
// arbitration pins
258 77 mihad
output  pci_req_o ;
259
output  pci_req_oe_o ;
260 2 mihad
 
261 77 mihad
input   pci_gnt_i ;
262 2 mihad
 
263
// protocol pins
264 77 mihad
input   pci_frame_i ;
265
output  pci_frame_o ;
266
output  pci_frame_oe_o ;
267
output  pci_irdy_oe_o ;
268
output  pci_devsel_oe_o ;
269
output  pci_trdy_oe_o ;
270
output  pci_stop_oe_o ;
271
output  [31:0] pci_ad_oe_o ;
272
output  [3:0]  pci_cbe_oe_o ;
273 2 mihad
 
274 77 mihad
input   pci_irdy_i ;
275
output  pci_irdy_o ;
276 2 mihad
 
277 77 mihad
input   pci_idsel_i ;
278 2 mihad
 
279 77 mihad
input   pci_devsel_i ;
280
output  pci_devsel_o ;
281 2 mihad
 
282 77 mihad
input   pci_trdy_i ;
283
output  pci_trdy_o ;
284 2 mihad
 
285 77 mihad
input   pci_stop_i ;
286
output  pci_stop_o ;
287 2 mihad
 
288 21 mihad
// data transfer pins
289 77 mihad
input   [31:0]  pci_ad_i ;
290
output  [31:0]  pci_ad_o ;
291 2 mihad
 
292 77 mihad
input   [3:0]   pci_cbe_i ;
293
output  [3:0]   pci_cbe_o ;
294 2 mihad
 
295
// parity generation and checking pins
296 77 mihad
input   pci_par_i ;
297
output  pci_par_o ;
298
output  pci_par_oe_o ;
299 2 mihad
 
300 77 mihad
input   pci_perr_i ;
301
output  pci_perr_o ;
302
output  pci_perr_oe_o ;
303 2 mihad
 
304
// system error pin
305 77 mihad
output  pci_serr_o ;
306
output  pci_serr_oe_o ;
307 2 mihad
 
308 62 mihad
`ifdef PCI_BIST
309
/*-----------------------------------------------------
310
BIST debug chain port signals
311
-----------------------------------------------------*/
312 67 tadejm
input   scanb_rst;      // bist scan reset
313
input   scanb_clk;      // bist scan clock
314
input   scanb_si;       // bist scan serial in
315
output  scanb_so;       // bist scan serial out
316 68 tadejm
input   scanb_en;       // bist scan shift enable
317 62 mihad
 
318
// internal wires for serial chain connection
319
wire SO_internal ;
320
wire SI_internal = SO_internal ;
321
`endif
322
 
323 2 mihad
// declare clock and reset wires
324 77 mihad
wire pci_clk = pci_clk_i ;
325
wire wb_clk  = wb_clk_i ;
326 21 mihad
wire reset ; // assigned at pci bridge reset and interrupt logic
327 2 mihad
 
328 21 mihad
/*=========================================================================================================
329
First comes definition of all modules' outputs, so they can be assigned to any other module's input later
330
  in the file, when module is instantiated
331
=========================================================================================================*/
332
// PCI BRIDGE RESET AND INTERRUPT LOGIC OUTPUTS
333
wire    pci_reso_reset ;
334
wire    pci_reso_pci_rstn_out ;
335
wire    pci_reso_pci_rstn_en_out ;
336
wire    pci_reso_rst_o ;
337
wire    pci_into_pci_intan_out ;
338
wire    pci_into_pci_intan_en_out ;
339
wire    pci_into_int_o ;
340
wire    pci_into_conf_isr_int_prop_out ;
341 2 mihad
 
342 21 mihad
// assign pci bridge reset interrupt logic outputs to top outputs where possible
343
assign reset            = pci_reso_reset ;
344 77 mihad
assign pci_rst_o     = pci_reso_pci_rstn_out ;
345
assign pci_rst_oe_o  = pci_reso_pci_rstn_en_out ;
346
assign wb_rst_o         = pci_reso_rst_o ;
347
assign pci_inta_o    = pci_into_pci_intan_out ;
348
assign pci_inta_oe_o = pci_into_pci_intan_en_out ;
349
assign wb_int_o         = pci_into_int_o ;
350 2 mihad
 
351
// WISHBONE SLAVE UNIT OUTPUTS
352
wire    [31:0]  wbu_sdata_out ;
353
wire            wbu_ack_out ;
354
wire            wbu_rty_out ;
355
wire            wbu_err_out ;
356
wire            wbu_pciif_req_out ;
357
wire            wbu_pciif_frame_out ;
358
wire            wbu_pciif_frame_en_out ;
359
wire            wbu_pciif_irdy_out ;
360
wire            wbu_pciif_irdy_en_out ;
361
wire    [31:0]  wbu_pciif_ad_out ;
362
wire            wbu_pciif_ad_en_out ;
363
wire    [3:0]   wbu_pciif_cbe_out ;
364
wire            wbu_pciif_cbe_en_out ;
365
wire    [31:0]  wbu_err_addr_out ;
366
wire    [3:0]   wbu_err_bc_out ;
367
wire            wbu_err_signal_out ;
368
wire            wbu_err_source_out ;
369
wire            wbu_err_rty_exp_out ;
370
wire            wbu_tabort_rec_out ;
371
wire            wbu_mabort_rec_out ;
372
wire    [11:0]  wbu_conf_offset_out ;
373
wire            wbu_conf_renable_out ;
374
wire            wbu_conf_wenable_out ;
375
wire    [3:0]   wbu_conf_be_out ;
376
wire    [31:0]  wbu_conf_data_out ;
377
wire            wbu_del_read_comp_pending_out ;
378
wire            wbu_wbw_fifo_empty_out ;
379 21 mihad
wire            wbu_ad_load_out ;
380
wire            wbu_ad_load_on_transfer_out ;
381 2 mihad
wire            wbu_pciif_frame_load_out ;
382
 
383
// PCI TARGET UNIT OUTPUTS
384 21 mihad
wire    [31:0]  pciu_adr_out ;
385 2 mihad
wire    [31:0]  pciu_mdata_out ;
386
wire            pciu_cyc_out ;
387
wire            pciu_stb_out ;
388
wire            pciu_we_out ;
389
wire    [3:0]   pciu_sel_out ;
390
wire            pciu_cab_out ;
391 21 mihad
wire            pciu_pciif_trdy_out ;
392
wire            pciu_pciif_stop_out ;
393
wire            pciu_pciif_devsel_out ;
394 2 mihad
wire            pciu_pciif_trdy_en_out ;
395
wire            pciu_pciif_stop_en_out ;
396
wire            pciu_pciif_devsel_en_out ;
397 21 mihad
wire            pciu_ad_load_out ;
398
wire            pciu_ad_load_on_transfer_out ;
399
wire   [31:0]   pciu_pciif_ad_out ;
400
wire            pciu_pciif_ad_en_out ;
401
wire            pciu_pciif_tabort_set_out ;
402 2 mihad
wire    [31:0]  pciu_err_addr_out ;
403
wire    [3:0]   pciu_err_bc_out ;
404
wire    [31:0]  pciu_err_data_out ;
405
wire    [3:0]   pciu_err_be_out ;
406
wire            pciu_err_signal_out ;
407
wire            pciu_err_source_out ;
408
wire            pciu_err_rty_exp_out ;
409 21 mihad
wire            pciu_conf_select_out ;
410 2 mihad
wire    [11:0]  pciu_conf_offset_out ;
411
wire            pciu_conf_renable_out ;
412
wire            pciu_conf_wenable_out ;
413
wire    [3:0]   pciu_conf_be_out ;
414
wire    [31:0]  pciu_conf_data_out ;
415 21 mihad
wire            pciu_pci_drcomp_pending_out ;
416
wire            pciu_pciw_fifo_empty_out ;
417 2 mihad
 
418
// assign pci target unit's outputs to top outputs where possible
419 77 mihad
assign wbm_adr_o    =   pciu_adr_out ;
420
assign wbm_dat_o   =   pciu_mdata_out ;
421
assign wbm_cyc_o    =   pciu_cyc_out ;
422
assign wbm_stb_o    =   pciu_stb_out ;
423
assign wbm_we_o     =   pciu_we_out ;
424
assign wbm_sel_o    =   pciu_sel_out ;
425
assign wbm_cab_o    =   pciu_cab_out ;
426 2 mihad
 
427
// CONFIGURATION SPACE OUTPUTS
428
wire    [31:0]  conf_w_data_out ;
429
wire    [31:0]  conf_r_data_out ;
430
wire            conf_serr_enable_out ;
431
wire            conf_perr_response_out ;
432
wire            conf_pci_master_enable_out ;
433
wire            conf_mem_space_enable_out ;
434
wire            conf_io_space_enable_out ;
435 21 mihad
wire    [7:0]   conf_cache_line_size_to_pci_out ;
436
wire    [7:0]   conf_cache_line_size_to_wb_out ;
437
wire            conf_cache_lsize_not_zero_to_wb_out ;
438 2 mihad
wire    [7:0]   conf_latency_tim_out ;
439
 
440 21 mihad
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba0_out ;
441
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba1_out ;
442
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba2_out ;
443
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba3_out ;
444
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba4_out ;
445
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba5_out ;
446
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta0_out ;
447
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta1_out ;
448
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta2_out ;
449
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta3_out ;
450
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta4_out ;
451
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta5_out ;
452
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am0_out ;
453
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am1_out ;
454
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am2_out ;
455
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am3_out ;
456
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am4_out ;
457
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am5_out ;
458
 
459 2 mihad
wire            conf_pci_mem_io0_out ;
460
wire            conf_pci_mem_io1_out ;
461
wire            conf_pci_mem_io2_out ;
462
wire            conf_pci_mem_io3_out ;
463
wire            conf_pci_mem_io4_out ;
464
wire            conf_pci_mem_io5_out ;
465
 
466
wire    [1:0]   conf_pci_img_ctrl0_out ;
467
wire    [1:0]   conf_pci_img_ctrl1_out ;
468
wire    [1:0]   conf_pci_img_ctrl2_out ;
469
wire    [1:0]   conf_pci_img_ctrl3_out ;
470
wire    [1:0]   conf_pci_img_ctrl4_out ;
471
wire    [1:0]   conf_pci_img_ctrl5_out ;
472
 
473 21 mihad
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba0_out ;
474
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba1_out ;
475
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba2_out ;
476
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba3_out ;
477
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba4_out ;
478
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba5_out ;
479 2 mihad
 
480
wire            conf_wb_mem_io0_out ;
481
wire            conf_wb_mem_io1_out ;
482
wire            conf_wb_mem_io2_out ;
483
wire            conf_wb_mem_io3_out ;
484
wire            conf_wb_mem_io4_out ;
485
wire            conf_wb_mem_io5_out ;
486
 
487 21 mihad
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am0_out ;
488
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am1_out ;
489
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am2_out ;
490
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am3_out ;
491
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am4_out ;
492
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am5_out ;
493
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta0_out ;
494
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta1_out ;
495
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta2_out ;
496
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta3_out ;
497
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta4_out ;
498
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta5_out ;
499 2 mihad
wire    [2:0]   conf_wb_img_ctrl0_out ;
500
wire    [2:0]   conf_wb_img_ctrl1_out ;
501
wire    [2:0]   conf_wb_img_ctrl2_out ;
502
wire    [2:0]   conf_wb_img_ctrl3_out ;
503
wire    [2:0]   conf_wb_img_ctrl4_out ;
504
wire    [2:0]   conf_wb_img_ctrl5_out ;
505
wire    [23:0]  conf_ccyc_addr_out ;
506
wire            conf_soft_res_out ;
507 21 mihad
wire            conf_int_out ;
508 2 mihad
 
509
// PCI IO MUX OUTPUTS
510
wire        pci_mux_frame_out ;
511
wire        pci_mux_irdy_out ;
512
wire        pci_mux_devsel_out ;
513
wire        pci_mux_trdy_out ;
514
wire        pci_mux_stop_out ;
515
wire [3:0]  pci_mux_cbe_out ;
516
wire [31:0] pci_mux_ad_out ;
517 21 mihad
wire        pci_mux_ad_load_out ;
518 2 mihad
 
519
wire [31:0] pci_mux_ad_en_out ;
520 21 mihad
wire        pci_mux_ad_en_unregistered_out ;
521 2 mihad
wire        pci_mux_frame_en_out ;
522
wire        pci_mux_irdy_en_out ;
523
wire        pci_mux_devsel_en_out ;
524
wire        pci_mux_trdy_en_out ;
525
wire        pci_mux_stop_en_out ;
526
wire [3:0]  pci_mux_cbe_en_out ;
527
 
528
wire        pci_mux_par_out ;
529
wire        pci_mux_par_en_out ;
530
wire        pci_mux_perr_out ;
531
wire        pci_mux_perr_en_out ;
532
wire        pci_mux_serr_out ;
533
wire        pci_mux_serr_en_out ;
534
 
535
wire        pci_mux_req_out ;
536
wire        pci_mux_req_en_out ;
537
 
538
// assign outputs to top level outputs
539
 
540 77 mihad
assign pci_ad_oe_o       = pci_mux_ad_en_out ;
541
assign pci_frame_oe_o   = pci_mux_frame_en_out ;
542
assign pci_irdy_oe_o    = pci_mux_irdy_en_out ;
543
assign pci_cbe_oe_o     = pci_mux_cbe_en_out ;
544 2 mihad
 
545 77 mihad
assign pci_par_o         =   pci_mux_par_out ;
546
assign pci_par_oe_o      =   pci_mux_par_en_out ;
547
assign pci_perr_o       =   pci_mux_perr_out ;
548
assign pci_perr_oe_o    =   pci_mux_perr_en_out ;
549
assign pci_serr_o       =   pci_mux_serr_out ;
550
assign pci_serr_oe_o    =   pci_mux_serr_en_out ;
551 2 mihad
 
552 77 mihad
assign pci_req_o        =   pci_mux_req_out ;
553
assign pci_req_oe_o     =   pci_mux_req_en_out ;
554 2 mihad
 
555 77 mihad
assign pci_trdy_oe_o    = pci_mux_trdy_en_out ;
556
assign pci_devsel_oe_o  = pci_mux_devsel_en_out ;
557
assign pci_stop_oe_o    = pci_mux_stop_en_out ;
558
assign pci_trdy_o       =  pci_mux_trdy_out ;
559
assign pci_devsel_o     = pci_mux_devsel_out ;
560
assign pci_stop_o       = pci_mux_stop_out ;
561 2 mihad
 
562 77 mihad
assign pci_ad_o          = pci_mux_ad_out ;
563
assign pci_frame_o      = pci_mux_frame_out ;
564
assign pci_irdy_o       = pci_mux_irdy_out ;
565
assign pci_cbe_o        = pci_mux_cbe_out ;
566 2 mihad
 
567
// duplicate output register's outputs
568
wire            out_bckp_frame_out ;
569
wire            out_bckp_irdy_out ;
570
wire            out_bckp_devsel_out ;
571
wire            out_bckp_trdy_out ;
572
wire            out_bckp_stop_out ;
573
wire    [3:0]   out_bckp_cbe_out ;
574
wire            out_bckp_cbe_en_out ;
575
wire    [31:0]  out_bckp_ad_out ;
576
wire            out_bckp_ad_en_out ;
577 21 mihad
wire            out_bckp_irdy_en_out ;
578 2 mihad
wire            out_bckp_frame_en_out ;
579
wire            out_bckp_tar_ad_en_out ;
580
wire            out_bckp_mas_ad_en_out ;
581
wire            out_bckp_trdy_en_out ;
582
 
583
wire            out_bckp_par_out ;
584
wire            out_bckp_par_en_out ;
585
wire            out_bckp_perr_out ;
586
wire            out_bckp_perr_en_out ;
587
wire            out_bckp_serr_out ;
588
wire            out_bckp_serr_en_out ;
589
 
590
 
591
// PARITY CHECKER OUTPUTS
592
wire    parchk_pci_par_out ;
593
wire    parchk_pci_par_en_out ;
594 21 mihad
wire    parchk_pci_perr_out ;
595 2 mihad
wire    parchk_pci_perr_en_out ;
596 21 mihad
wire    parchk_pci_serr_out ;
597 2 mihad
wire    parchk_pci_serr_en_out ;
598
wire    parchk_par_err_detect_out ;
599
wire    parchk_perr_mas_detect_out ;
600
wire    parchk_sig_serr_out ;
601
 
602
// input register outputs
603
wire            in_reg_gnt_out ;
604
wire            in_reg_frame_out ;
605
wire            in_reg_irdy_out ;
606
wire            in_reg_trdy_out ;
607
wire            in_reg_stop_out ;
608
wire            in_reg_devsel_out ;
609 21 mihad
wire            in_reg_idsel_out ;
610 2 mihad
wire    [31:0]  in_reg_ad_out ;
611
wire    [3:0]   in_reg_cbe_out ;
612
 
613 21 mihad
/*=========================================================================================================
614
Now comes definition of all modules' and their appropriate inputs
615
=========================================================================================================*/
616
// PCI BRIDGE RESET AND INTERRUPT LOGIC INPUTS
617 77 mihad
wire    pci_resi_rst_i                  = wb_rst_i ;
618
wire    pci_resi_pci_rstn_in            = pci_rst_i ;
619 21 mihad
wire    pci_resi_conf_soft_res_in       = conf_soft_res_out ;
620 77 mihad
wire    pci_inti_pci_intan_in           = pci_inta_i ;
621 21 mihad
wire    pci_inti_conf_int_in            = conf_int_out ;
622 77 mihad
wire    pci_inti_int_i                  = wb_int_i ;
623 21 mihad
wire    pci_inti_out_bckp_perr_en_in    = out_bckp_perr_en_out ;
624
wire    pci_inti_out_bckp_serr_en_in    = out_bckp_serr_en_out ;
625 2 mihad
 
626 77 mihad
pci_rst_int pci_resets_and_interrupts
627 21 mihad
(
628
    .clk_in                 (pci_clk),
629
    .rst_i                  (pci_resi_rst_i),
630
    .pci_rstn_in            (pci_resi_pci_rstn_in),
631
    .conf_soft_res_in       (pci_resi_conf_soft_res_in),
632
    .reset                  (pci_reso_reset),
633
    .pci_rstn_out           (pci_reso_pci_rstn_out),
634
    .pci_rstn_en_out        (pci_reso_pci_rstn_en_out),
635
    .rst_o                  (pci_reso_rst_o),
636
    .pci_intan_in           (pci_inti_pci_intan_in),
637
    .conf_int_in            (pci_inti_conf_int_in),
638
    .int_i                  (pci_inti_int_i),
639
    .out_bckp_perr_en_in    (pci_inti_out_bckp_perr_en_in),
640
    .out_bckp_serr_en_in    (pci_inti_out_bckp_serr_en_in),
641
    .pci_intan_out          (pci_into_pci_intan_out),
642
    .pci_intan_en_out       (pci_into_pci_intan_en_out),
643
    .int_o                  (pci_into_int_o),
644
    .conf_isr_int_prop_out  (pci_into_conf_isr_int_prop_out)
645
);
646 2 mihad
 
647 106 mihad
 
648
`ifdef PCI_WB_REV_B3
649
 
650
wire            wbs_wbb3_2_wbb2_cyc_o   ;
651
wire            wbs_wbb3_2_wbb2_stb_o   ;
652
wire    [31:0]  wbs_wbb3_2_wbb2_adr_o   ;
653
wire    [31:0]  wbs_wbb3_2_wbb2_dat_i_o ;
654
wire    [31:0]  wbs_wbb3_2_wbb2_dat_o_o ;
655
wire            wbs_wbb3_2_wbb2_we_o    ;
656
wire    [ 3:0]  wbs_wbb3_2_wbb2_sel_o   ;
657
wire            wbs_wbb3_2_wbb2_ack_o   ;
658
wire            wbs_wbb3_2_wbb2_err_o   ;
659
wire            wbs_wbb3_2_wbb2_rty_o   ;
660
wire            wbs_wbb3_2_wbb2_cab_o   ;
661
 
662
// assign wishbone slave unit's outputs to top outputs where possible
663
assign wbs_dat_o    =   wbs_wbb3_2_wbb2_dat_o_o ;
664
assign wbs_ack_o    =   wbs_wbb3_2_wbb2_ack_o   ;
665
assign wbs_rty_o    =   wbs_wbb3_2_wbb2_rty_o   ;
666
assign wbs_err_o    =   wbs_wbb3_2_wbb2_err_o       ;
667
 
668
wire            wbs_wbb3_2_wbb2_cyc_i   =   wbs_cyc_i       ;
669
wire            wbs_wbb3_2_wbb2_stb_i   =   wbs_stb_i       ;
670
wire            wbs_wbb3_2_wbb2_we_i    =   wbs_we_i        ;
671
wire            wbs_wbb3_2_wbb2_ack_i   =   wbu_ack_out     ;
672
wire            wbs_wbb3_2_wbb2_err_i   =   wbu_err_out     ;
673
wire            wbs_wbb3_2_wbb2_rty_i   =   wbu_rty_out     ;
674
wire    [31:0]  wbs_wbb3_2_wbb2_adr_i   =   wbs_adr_i       ;
675
wire    [ 3:0]  wbs_wbb3_2_wbb2_sel_i   =   wbs_sel_i       ;
676
wire    [31:0]  wbs_wbb3_2_wbb2_dat_i_i =   wbs_dat_i       ;
677
wire    [31:0]  wbs_wbb3_2_wbb2_dat_o_i =   wbu_sdata_out   ;
678
wire    [ 2:0]  wbs_wbb3_2_wbb2_cti_i   =   wbs_cti_i       ;
679
wire    [ 1:0]  wbs_wbb3_2_wbb2_bte_i   =   wbs_bte_i       ;
680
 
681
pci_wbs_wbb3_2_wbb2 i_pci_wbs_wbb3_2_wbb2
682
(
683
    .wb_clk_i       (   wb_clk_i    )   ,
684
    .wb_rst_i       (   wb_rst_i    )   ,
685
 
686
    .wbs_cyc_i      (   wbs_wbb3_2_wbb2_cyc_i   )   ,
687
    .wbs_cyc_o      (   wbs_wbb3_2_wbb2_cyc_o   )   ,
688
    .wbs_stb_i      (   wbs_wbb3_2_wbb2_stb_i   )   ,
689
    .wbs_stb_o      (   wbs_wbb3_2_wbb2_stb_o   )   ,
690
    .wbs_adr_i      (   wbs_wbb3_2_wbb2_adr_i   )   ,
691
    .wbs_adr_o      (   wbs_wbb3_2_wbb2_adr_o   )   ,
692
    .wbs_dat_i_i    (   wbs_wbb3_2_wbb2_dat_i_i )   ,
693
    .wbs_dat_i_o    (   wbs_wbb3_2_wbb2_dat_i_o )   ,
694
    .wbs_dat_o_i    (   wbs_wbb3_2_wbb2_dat_o_i )   ,
695
    .wbs_dat_o_o    (   wbs_wbb3_2_wbb2_dat_o_o )   ,
696
    .wbs_we_i       (   wbs_wbb3_2_wbb2_we_i    )   ,
697
    .wbs_we_o       (   wbs_wbb3_2_wbb2_we_o    )   ,
698
    .wbs_sel_i      (   wbs_wbb3_2_wbb2_sel_i   )   ,
699
    .wbs_sel_o      (   wbs_wbb3_2_wbb2_sel_o   )   ,
700
    .wbs_ack_i      (   wbs_wbb3_2_wbb2_ack_i   )   ,
701
    .wbs_ack_o      (   wbs_wbb3_2_wbb2_ack_o   )   ,
702
    .wbs_err_i      (   wbs_wbb3_2_wbb2_err_i   )   ,
703
    .wbs_err_o      (   wbs_wbb3_2_wbb2_err_o   )   ,
704
    .wbs_rty_i      (   wbs_wbb3_2_wbb2_rty_i   )   ,
705
    .wbs_rty_o      (   wbs_wbb3_2_wbb2_rty_o   )   ,
706
    .wbs_cti_i      (   wbs_wbb3_2_wbb2_cti_i   )   ,
707
    .wbs_bte_i      (   wbs_wbb3_2_wbb2_bte_i   )   ,
708
    .wbs_cab_o      (   wbs_wbb3_2_wbb2_cab_o   )
709
) ;
710
 
711 2 mihad
// WISHBONE SLAVE UNIT INPUTS
712 106 mihad
wire    [31:0]  wbu_addr_in     =   wbs_wbb3_2_wbb2_adr_o   ;
713
wire    [31:0]  wbu_sdata_in    =   wbs_wbb3_2_wbb2_dat_i_o ;
714
wire            wbu_cyc_in      =   wbs_wbb3_2_wbb2_cyc_o   ;
715
wire            wbu_stb_in      =   wbs_wbb3_2_wbb2_stb_o   ;
716
wire            wbu_we_in       =   wbs_wbb3_2_wbb2_we_o    ;
717
wire    [3:0]   wbu_sel_in      =   wbs_wbb3_2_wbb2_sel_o   ;
718
wire            wbu_cab_in      =   wbs_wbb3_2_wbb2_cab_o   ;
719
 
720
`else
721
 
722
// WISHBONE SLAVE UNIT INPUTS
723 77 mihad
wire    [31:0]  wbu_addr_in                     =   wbs_adr_i ;
724
wire    [31:0]  wbu_sdata_in                    =   wbs_dat_i ;
725
wire            wbu_cyc_in                      =   wbs_cyc_i ;
726
wire            wbu_stb_in                      =   wbs_stb_i ;
727
wire            wbu_we_in                       =   wbs_we_i ;
728
wire    [3:0]   wbu_sel_in                      =   wbs_sel_i ;
729
wire            wbu_cab_in                      =   wbs_cab_i ;
730 2 mihad
 
731 106 mihad
// assign wishbone slave unit's outputs to top outputs where possible
732
assign wbs_dat_o    =   wbu_sdata_out   ;
733
assign wbs_ack_o    =   wbu_ack_out     ;
734
assign wbs_rty_o    =   wbu_rty_out     ;
735
assign wbs_err_o    =   wbu_err_out     ;
736
 
737
`endif
738
 
739 2 mihad
wire    [5:0]   wbu_map_in                      =   {
740
                                                     conf_wb_mem_io5_out,
741
                                                     conf_wb_mem_io4_out,
742
                                                     conf_wb_mem_io3_out,
743
                                                     conf_wb_mem_io2_out,
744
                                                     conf_wb_mem_io1_out,
745
                                                     conf_wb_mem_io0_out
746
                                                    } ;
747
 
748
wire    [5:0]   wbu_pref_en_in                  =   {
749
                                                     conf_wb_img_ctrl5_out[1],
750
                                                     conf_wb_img_ctrl4_out[1],
751
                                                     conf_wb_img_ctrl3_out[1],
752
                                                     conf_wb_img_ctrl2_out[1],
753
                                                     conf_wb_img_ctrl1_out[1],
754
                                                     conf_wb_img_ctrl0_out[1]
755
                                                    };
756
wire    [5:0]   wbu_mrl_en_in                   =   {
757
                                                     conf_wb_img_ctrl5_out[0],
758
                                                     conf_wb_img_ctrl4_out[0],
759
                                                     conf_wb_img_ctrl3_out[0],
760
                                                     conf_wb_img_ctrl2_out[0],
761
                                                     conf_wb_img_ctrl1_out[0],
762
                                                     conf_wb_img_ctrl0_out[0]
763
                                                    };
764
 
765
wire    [5:0]   wbu_at_en_in                    =   {
766
                                                     conf_wb_img_ctrl5_out[2],
767
                                                     conf_wb_img_ctrl4_out[2],
768
                                                     conf_wb_img_ctrl3_out[2],
769
                                                     conf_wb_img_ctrl2_out[2],
770
                                                     conf_wb_img_ctrl1_out[2],
771
                                                     conf_wb_img_ctrl0_out[2]
772
                                                    } ;
773
 
774
wire            wbu_pci_drcomp_pending_in       =   pciu_pci_drcomp_pending_out ;
775
wire            wbu_pciw_empty_in               =   pciu_pciw_fifo_empty_out ;
776
 
777
`ifdef HOST
778
    wire    [31:0]  wbu_conf_data_in            =   conf_w_data_out ;
779
`else
780
`ifdef GUEST
781
    wire    [31:0]  wbu_conf_data_in            =   conf_r_data_out ;
782
`endif
783
`endif
784
 
785 21 mihad
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in  =   conf_wb_ba0_out ;
786
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in  =   conf_wb_ba1_out ;
787
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in  =   conf_wb_ba2_out ;
788
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in  =   conf_wb_ba3_out ;
789
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in  =   conf_wb_ba4_out ;
790
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in  =   conf_wb_ba5_out ;
791
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in   =   conf_wb_am0_out ;
792
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in   =   conf_wb_am1_out ;
793
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in   =   conf_wb_am2_out ;
794
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in   =   conf_wb_am3_out ;
795
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in   =   conf_wb_am4_out ;
796
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in   =   conf_wb_am5_out ;
797
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in   =   conf_wb_ta0_out ;
798
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in   =   conf_wb_ta1_out ;
799
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in   =   conf_wb_ta2_out ;
800
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in   =   conf_wb_ta3_out ;
801
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in   =   conf_wb_ta4_out ;
802
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in   =   conf_wb_ta5_out ;
803 2 mihad
 
804
wire    [23:0]  wbu_ccyc_addr_in                        =   conf_ccyc_addr_out ;
805
wire            wbu_master_enable_in                    =   conf_pci_master_enable_out ;
806 21 mihad
wire            wbu_cache_line_size_not_zero            =   conf_cache_lsize_not_zero_to_wb_out ;
807
wire    [7:0]   wbu_cache_line_size_in                  =   conf_cache_line_size_to_pci_out ;
808 2 mihad
 
809 77 mihad
wire            wbu_pciif_gnt_in                        = pci_gnt_i ;
810 2 mihad
wire            wbu_pciif_frame_in                      = in_reg_frame_out ;
811
wire            wbu_pciif_irdy_in                       = in_reg_irdy_out ;
812 77 mihad
wire            wbu_pciif_trdy_in                       = pci_trdy_i ;
813
wire            wbu_pciif_stop_in                       = pci_stop_i ;
814
wire            wbu_pciif_devsel_in                     = pci_devsel_i ;
815 2 mihad
wire    [31:0]  wbu_pciif_ad_reg_in                     = in_reg_ad_out ;
816
wire            wbu_pciif_trdy_reg_in                   = in_reg_trdy_out ;
817
wire            wbu_pciif_stop_reg_in                   = in_reg_stop_out ;
818
wire            wbu_pciif_devsel_reg_in                 = in_reg_devsel_out ;
819
 
820
 
821
wire    [7:0]   wbu_latency_tim_val_in                  = conf_latency_tim_out ;
822
 
823
wire            wbu_pciif_frame_en_in                   = out_bckp_frame_en_out ;
824
wire            wbu_pciif_frame_out_in                  = out_bckp_frame_out ;
825
 
826 77 mihad
pci_wb_slave_unit wishbone_slave_unit
827 2 mihad
(
828
    .reset_in                      (reset),
829
    .wb_clock_in                   (wb_clk),
830
    .pci_clock_in                  (pci_clk),
831
    .ADDR_I                        (wbu_addr_in),
832
    .SDATA_I                       (wbu_sdata_in),
833
    .SDATA_O                       (wbu_sdata_out),
834
    .CYC_I                         (wbu_cyc_in),
835
    .STB_I                         (wbu_stb_in),
836
    .WE_I                          (wbu_we_in),
837
    .SEL_I                         (wbu_sel_in),
838
    .ACK_O                         (wbu_ack_out),
839
    .RTY_O                         (wbu_rty_out),
840
    .ERR_O                         (wbu_err_out),
841
    .CAB_I                         (wbu_cab_in),
842
    .wbu_map_in                    (wbu_map_in),
843
    .wbu_pref_en_in                (wbu_pref_en_in),
844
    .wbu_mrl_en_in                 (wbu_mrl_en_in),
845
    .wbu_pci_drcomp_pending_in     (wbu_pci_drcomp_pending_in),
846
    .wbu_conf_data_in              (wbu_conf_data_in),
847
    .wbu_pciw_empty_in             (wbu_pciw_empty_in),
848
    .wbu_bar0_in                   (wbu_bar0_in),
849
    .wbu_bar1_in                   (wbu_bar1_in),
850
    .wbu_bar2_in                   (wbu_bar2_in),
851
    .wbu_bar3_in                   (wbu_bar3_in),
852
    .wbu_bar4_in                   (wbu_bar4_in),
853
    .wbu_bar5_in                   (wbu_bar5_in),
854
    .wbu_am0_in                    (wbu_am0_in),
855
    .wbu_am1_in                    (wbu_am1_in),
856
    .wbu_am2_in                    (wbu_am2_in),
857
    .wbu_am3_in                    (wbu_am3_in),
858
    .wbu_am4_in                    (wbu_am4_in),
859
    .wbu_am5_in                    (wbu_am5_in),
860
    .wbu_ta0_in                    (wbu_ta0_in),
861
    .wbu_ta1_in                    (wbu_ta1_in),
862
    .wbu_ta2_in                    (wbu_ta2_in),
863
    .wbu_ta3_in                    (wbu_ta3_in),
864
    .wbu_ta4_in                    (wbu_ta4_in),
865
    .wbu_ta5_in                    (wbu_ta5_in),
866
    .wbu_at_en_in                  (wbu_at_en_in),
867
    .wbu_ccyc_addr_in              (wbu_ccyc_addr_in),
868
    .wbu_master_enable_in          (wbu_master_enable_in),
869 21 mihad
    .wbu_cache_line_size_not_zero  (wbu_cache_line_size_not_zero),
870 2 mihad
    .wbu_cache_line_size_in        (wbu_cache_line_size_in),
871
    .wbu_pciif_gnt_in              (wbu_pciif_gnt_in),
872
    .wbu_pciif_frame_in            (wbu_pciif_frame_in),
873
    .wbu_pciif_frame_en_in         (wbu_pciif_frame_en_in),
874
    .wbu_pciif_frame_out_in        (wbu_pciif_frame_out_in),
875
    .wbu_pciif_irdy_in             (wbu_pciif_irdy_in),
876
    .wbu_pciif_trdy_in             (wbu_pciif_trdy_in),
877
    .wbu_pciif_stop_in             (wbu_pciif_stop_in),
878
    .wbu_pciif_devsel_in           (wbu_pciif_devsel_in),
879
    .wbu_pciif_ad_reg_in           (wbu_pciif_ad_reg_in),
880
    .wbu_pciif_req_out             (wbu_pciif_req_out),
881
    .wbu_pciif_frame_out           (wbu_pciif_frame_out),
882
    .wbu_pciif_frame_en_out        (wbu_pciif_frame_en_out),
883
    .wbu_pciif_frame_load_out      (wbu_pciif_frame_load_out),
884
    .wbu_pciif_irdy_out            (wbu_pciif_irdy_out),
885
    .wbu_pciif_irdy_en_out         (wbu_pciif_irdy_en_out),
886
    .wbu_pciif_ad_out              (wbu_pciif_ad_out),
887
    .wbu_pciif_ad_en_out           (wbu_pciif_ad_en_out),
888
    .wbu_pciif_cbe_out             (wbu_pciif_cbe_out),
889
    .wbu_pciif_cbe_en_out          (wbu_pciif_cbe_en_out),
890
    .wbu_err_addr_out              (wbu_err_addr_out),
891
    .wbu_err_bc_out                (wbu_err_bc_out),
892
    .wbu_err_signal_out            (wbu_err_signal_out),
893
    .wbu_err_source_out            (wbu_err_source_out),
894
    .wbu_err_rty_exp_out           (wbu_err_rty_exp_out),
895
    .wbu_tabort_rec_out            (wbu_tabort_rec_out),
896
    .wbu_mabort_rec_out            (wbu_mabort_rec_out),
897
    .wbu_conf_offset_out           (wbu_conf_offset_out),
898
    .wbu_conf_renable_out          (wbu_conf_renable_out),
899
    .wbu_conf_wenable_out          (wbu_conf_wenable_out),
900
    .wbu_conf_be_out               (wbu_conf_be_out),
901
    .wbu_conf_data_out             (wbu_conf_data_out),
902
    .wbu_del_read_comp_pending_out (wbu_del_read_comp_pending_out),
903
    .wbu_wbw_fifo_empty_out        (wbu_wbw_fifo_empty_out),
904
    .wbu_latency_tim_val_in        (wbu_latency_tim_val_in),
905 21 mihad
    .wbu_ad_load_out               (wbu_ad_load_out),
906
    .wbu_ad_load_on_transfer_out   (wbu_ad_load_on_transfer_out),
907 2 mihad
    .wbu_pciif_trdy_reg_in         (wbu_pciif_trdy_reg_in),
908
    .wbu_pciif_stop_reg_in         (wbu_pciif_stop_reg_in),
909
    .wbu_pciif_devsel_reg_in       (wbu_pciif_devsel_reg_in)
910 62 mihad
 
911
`ifdef PCI_BIST
912
    ,
913 67 tadejm
    .scanb_rst      (scanb_rst),
914
    .scanb_clk      (scanb_clk),
915
    .scanb_si       (scanb_si),
916 69 mihad
    .scanb_so       (scanb_so_internal),
917 68 tadejm
    .scanb_en       (scanb_en)
918 62 mihad
`endif
919 2 mihad
);
920
 
921
// PCI TARGET UNIT INPUTS
922 77 mihad
wire    [31:0]  pciu_mdata_in                   =   wbm_dat_i ;
923
wire            pciu_ack_in                     =   wbm_ack_i ;
924
wire            pciu_rty_in                     =   wbm_rty_i ;
925
wire            pciu_err_in                     =   wbm_err_i ;
926 2 mihad
 
927
wire    [5:0]   pciu_map_in                     =   {
928
                                                     conf_pci_mem_io5_out,
929
                                                     conf_pci_mem_io4_out,
930
                                                     conf_pci_mem_io3_out,
931
                                                     conf_pci_mem_io2_out,
932
                                                     conf_pci_mem_io1_out,
933
                                                     conf_pci_mem_io0_out
934
                                                    } ;
935
 
936
wire    [5:0]   pciu_pref_en_in                 =   {
937
                                                     conf_pci_img_ctrl5_out[0],
938
                                                     conf_pci_img_ctrl4_out[0],
939
                                                     conf_pci_img_ctrl3_out[0],
940
                                                     conf_pci_img_ctrl2_out[0],
941
                                                     conf_pci_img_ctrl1_out[0],
942
                                                     conf_pci_img_ctrl0_out[0]
943
                                                    };
944
 
945
wire    [5:0]   pciu_at_en_in                   =   {
946
                                                     conf_pci_img_ctrl5_out[1],
947
                                                     conf_pci_img_ctrl4_out[1],
948
                                                     conf_pci_img_ctrl3_out[1],
949
                                                     conf_pci_img_ctrl2_out[1],
950
                                                     conf_pci_img_ctrl1_out[1],
951
                                                     conf_pci_img_ctrl0_out[1]
952
                                                    } ;
953
 
954 21 mihad
wire            pciu_mem_enable_in              =   conf_mem_space_enable_out ;
955
wire            pciu_io_enable_in               =   conf_io_space_enable_out ;
956 2 mihad
 
957
wire            pciu_wbw_fifo_empty_in          =   wbu_wbw_fifo_empty_out ;
958 21 mihad
wire                    pciu_wbu_del_read_comp_pending_in       =       wbu_del_read_comp_pending_out ;
959
wire            pciu_wbu_frame_en_in            =   out_bckp_frame_en_out ;
960 2 mihad
 
961
`ifdef HOST
962
    wire    [31:0]  pciu_conf_data_in           =   conf_r_data_out ;
963
`else
964
`ifdef GUEST
965
    wire    [31:0]  pciu_conf_data_in           =   conf_w_data_out ;
966
`endif
967
`endif
968
 
969 21 mihad
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in =   conf_pci_ba0_out ;
970
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in =   conf_pci_ba1_out ;
971
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in =   conf_pci_ba2_out ;
972
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in =   conf_pci_ba3_out ;
973
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in =   conf_pci_ba4_out ;
974
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in =   conf_pci_ba5_out ;
975
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in  =   conf_pci_am0_out ;
976
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in  =   conf_pci_am1_out ;
977
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in  =   conf_pci_am2_out ;
978
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in  =   conf_pci_am3_out ;
979
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in  =   conf_pci_am4_out ;
980
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in  =   conf_pci_am5_out ;
981
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in  =   conf_pci_ta0_out ;
982
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in  =   conf_pci_ta1_out ;
983
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in  =   conf_pci_ta2_out ;
984
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in  =   conf_pci_ta3_out ;
985
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in  =   conf_pci_ta4_out ;
986
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in  =   conf_pci_ta5_out ;
987 2 mihad
 
988 21 mihad
wire    [7:0]   pciu_cache_line_size_in                 =   conf_cache_line_size_to_wb_out ;
989
wire            pciu_cache_lsize_not_zero_in            =   conf_cache_lsize_not_zero_to_wb_out ;
990 2 mihad
 
991 77 mihad
wire            pciu_pciif_frame_in                     =   pci_frame_i ;
992
wire            pciu_pciif_irdy_in                      =   pci_irdy_i ;
993
wire            pciu_pciif_idsel_in                     =   pci_idsel_i ;
994 21 mihad
wire            pciu_pciif_frame_reg_in                 =   in_reg_frame_out ;
995
wire            pciu_pciif_irdy_reg_in                  =   in_reg_irdy_out ;
996
wire            pciu_pciif_idsel_reg_in                 =   in_reg_idsel_out ;
997
wire    [31:0]  pciu_pciif_ad_reg_in                    =   in_reg_ad_out ;
998
wire    [3:0]   pciu_pciif_cbe_reg_in                   =   in_reg_cbe_out ;
999 2 mihad
 
1000 21 mihad
wire            pciu_pciif_bckp_trdy_en_in              =   out_bckp_trdy_en_out ;
1001
wire            pciu_pciif_bckp_devsel_in               =   out_bckp_devsel_out ;
1002
wire            pciu_pciif_bckp_trdy_in                 =   out_bckp_trdy_out ;
1003
wire            pciu_pciif_bckp_stop_in                 =   out_bckp_stop_out ;
1004
wire            pciu_pciif_trdy_reg_in                  =   in_reg_trdy_out ;
1005
wire            pciu_pciif_stop_reg_in                  =   in_reg_stop_out ;
1006 2 mihad
 
1007 77 mihad
pci_target_unit pci_target_unit
1008 2 mihad
(
1009
    .reset_in                       (reset),
1010
    .wb_clock_in                    (wb_clk),
1011
    .pci_clock_in                   (pci_clk),
1012
    .ADR_O                          (pciu_adr_out),
1013 21 mihad
    .MDATA_O                        (pciu_mdata_out),
1014
    .MDATA_I                        (pciu_mdata_in),
1015
    .CYC_O                          (pciu_cyc_out),
1016
    .STB_O                          (pciu_stb_out),
1017
    .WE_O                           (pciu_we_out),
1018
    .SEL_O                          (pciu_sel_out),
1019
    .ACK_I                          (pciu_ack_in),
1020
    .RTY_I                          (pciu_rty_in),
1021
    .ERR_I                          (pciu_err_in),
1022
    .CAB_O                          (pciu_cab_out),
1023
    .pciu_mem_enable_in             (pciu_mem_enable_in),
1024
    .pciu_io_enable_in              (pciu_io_enable_in),
1025
    .pciu_map_in                    (pciu_map_in),
1026
    .pciu_pref_en_in                (pciu_pref_en_in),
1027
    .pciu_conf_data_in              (pciu_conf_data_in),
1028
    .pciu_wbw_fifo_empty_in         (pciu_wbw_fifo_empty_in),
1029
    .pciu_wbu_del_read_comp_pending_in  (pciu_wbu_del_read_comp_pending_in),
1030
    .pciu_wbu_frame_en_in           (pciu_wbu_frame_en_in),
1031
    .pciu_bar0_in                   (pciu_bar0_in),
1032
    .pciu_bar1_in                   (pciu_bar1_in),
1033
    .pciu_bar2_in                   (pciu_bar2_in),
1034
    .pciu_bar3_in                   (pciu_bar3_in),
1035
    .pciu_bar4_in                   (pciu_bar4_in),
1036
    .pciu_bar5_in                   (pciu_bar5_in),
1037
    .pciu_am0_in                    (pciu_am0_in),
1038
    .pciu_am1_in                    (pciu_am1_in),
1039
    .pciu_am2_in                    (pciu_am2_in),
1040
    .pciu_am3_in                    (pciu_am3_in),
1041
    .pciu_am4_in                    (pciu_am4_in),
1042
    .pciu_am5_in                    (pciu_am5_in),
1043
    .pciu_ta0_in                    (pciu_ta0_in),
1044
    .pciu_ta1_in                    (pciu_ta1_in),
1045
    .pciu_ta2_in                    (pciu_ta2_in),
1046
    .pciu_ta3_in                    (pciu_ta3_in),
1047
    .pciu_ta4_in                    (pciu_ta4_in),
1048
    .pciu_ta5_in                    (pciu_ta5_in),
1049
    .pciu_at_en_in                  (pciu_at_en_in),
1050
    .pciu_cache_line_size_in        (pciu_cache_line_size_in),
1051
    .pciu_cache_lsize_not_zero_in   (pciu_cache_lsize_not_zero_in),
1052
    .pciu_pciif_frame_in            (pciu_pciif_frame_in),
1053
    .pciu_pciif_irdy_in             (pciu_pciif_irdy_in),
1054
    .pciu_pciif_idsel_in            (pciu_pciif_idsel_in),
1055
    .pciu_pciif_frame_reg_in        (pciu_pciif_frame_reg_in),
1056
    .pciu_pciif_irdy_reg_in         (pciu_pciif_irdy_reg_in),
1057
    .pciu_pciif_idsel_reg_in        (pciu_pciif_idsel_reg_in),
1058
    .pciu_pciif_ad_reg_in           (pciu_pciif_ad_reg_in),
1059
    .pciu_pciif_cbe_reg_in          (pciu_pciif_cbe_reg_in),
1060
    .pciu_pciif_bckp_trdy_en_in     (pciu_pciif_bckp_trdy_en_in),
1061
    .pciu_pciif_bckp_devsel_in      (pciu_pciif_bckp_devsel_in),
1062
    .pciu_pciif_bckp_trdy_in        (pciu_pciif_bckp_trdy_in),
1063
    .pciu_pciif_bckp_stop_in        (pciu_pciif_bckp_stop_in),
1064
    .pciu_pciif_trdy_reg_in         (pciu_pciif_trdy_reg_in),
1065
    .pciu_pciif_stop_reg_in         (pciu_pciif_stop_reg_in),
1066
    .pciu_pciif_trdy_out            (pciu_pciif_trdy_out),
1067
    .pciu_pciif_stop_out            (pciu_pciif_stop_out),
1068
    .pciu_pciif_devsel_out          (pciu_pciif_devsel_out),
1069
    .pciu_pciif_trdy_en_out         (pciu_pciif_trdy_en_out),
1070
    .pciu_pciif_stop_en_out         (pciu_pciif_stop_en_out),
1071
    .pciu_pciif_devsel_en_out       (pciu_pciif_devsel_en_out),
1072
    .pciu_ad_load_out               (pciu_ad_load_out),
1073
    .pciu_ad_load_on_transfer_out   (pciu_ad_load_on_transfer_out),
1074
    .pciu_pciif_ad_out              (pciu_pciif_ad_out),
1075
    .pciu_pciif_ad_en_out           (pciu_pciif_ad_en_out),
1076
    .pciu_pciif_tabort_set_out      (pciu_pciif_tabort_set_out),
1077
    .pciu_err_addr_out              (pciu_err_addr_out),
1078
    .pciu_err_bc_out                (pciu_err_bc_out),
1079
    .pciu_err_data_out              (pciu_err_data_out),
1080
    .pciu_err_be_out                (pciu_err_be_out),
1081
    .pciu_err_signal_out            (pciu_err_signal_out),
1082
    .pciu_err_source_out            (pciu_err_source_out),
1083
    .pciu_err_rty_exp_out           (pciu_err_rty_exp_out),
1084
    .pciu_conf_offset_out           (pciu_conf_offset_out),
1085
    .pciu_conf_renable_out          (pciu_conf_renable_out),
1086
    .pciu_conf_wenable_out          (pciu_conf_wenable_out),
1087
    .pciu_conf_be_out               (pciu_conf_be_out),
1088
    .pciu_conf_data_out             (pciu_conf_data_out),
1089
    .pciu_conf_select_out           (pciu_conf_select_out),
1090
    .pciu_pci_drcomp_pending_out    (pciu_pci_drcomp_pending_out),
1091
    .pciu_pciw_fifo_empty_out       (pciu_pciw_fifo_empty_out)
1092 62 mihad
 
1093
`ifdef PCI_BIST
1094
    ,
1095 67 tadejm
    .scanb_rst      (scanb_rst),
1096
    .scanb_clk      (scanb_clk),
1097 69 mihad
    .scanb_si       (scanb_so_internal),
1098 67 tadejm
    .scanb_so       (scanb_so),
1099 68 tadejm
    .scanb_en       (scanb_en)
1100 62 mihad
`endif
1101 2 mihad
);
1102
 
1103
 
1104
// CONFIGURATION SPACE INPUTS
1105
`ifdef HOST
1106
 
1107
    wire    [11:0]  conf_w_addr_in          =       wbu_conf_offset_out ;
1108
    wire    [31:0]  conf_w_data_in          =       wbu_conf_data_out ;
1109
    wire            conf_w_we_in            =       wbu_conf_wenable_out ;
1110
    wire            conf_w_re_in            =       wbu_conf_renable_out ;
1111
    wire    [3:0]   conf_w_be_in            =       wbu_conf_be_out     ;
1112
    wire            conf_w_clock            =       wb_clk ;
1113 21 mihad
    wire    [11:0]  conf_r_addr_in          =       pciu_conf_offset_out ;
1114
    wire            conf_r_re_in            =       pciu_conf_renable_out ;
1115 2 mihad
 
1116
`else
1117
`ifdef GUEST
1118
 
1119
    wire    [11:0]  conf_r_addr_in          =       wbu_conf_offset_out ;
1120
    wire            conf_r_re_in            =       wbu_conf_renable_out ;
1121
    wire            conf_w_clock            =       pci_clk ;
1122 21 mihad
    wire    [11:0]  conf_w_addr_in          =       pciu_conf_offset_out ;
1123
    wire    [31:0]  conf_w_data_in          =       pciu_conf_data_out ;
1124
    wire            conf_w_we_in            =       pciu_conf_wenable_out ;
1125
    wire            conf_w_re_in            =       pciu_conf_renable_out ;
1126
    wire    [3:0]   conf_w_be_in            =       pciu_conf_be_out ;
1127 2 mihad
 
1128
`endif
1129
`endif
1130
 
1131
 
1132
wire            conf_perr_in                            =   parchk_par_err_detect_out ;
1133
wire            conf_serr_in                            =   parchk_sig_serr_out ;
1134
wire            conf_master_abort_recv_in               =   wbu_mabort_rec_out ;
1135
wire            conf_target_abort_recv_in               =   wbu_tabort_rec_out ;
1136
wire            conf_target_abort_set_in                =   pciu_pciif_tabort_set_out ;
1137
 
1138
wire            conf_master_data_par_err_in             =   parchk_perr_mas_detect_out ;
1139
 
1140
wire    [3:0]   conf_pci_err_be_in      = pciu_err_be_out ;
1141 21 mihad
wire    [3:0]   conf_pci_err_bc_in      = pciu_err_bc_out;
1142
wire            conf_pci_err_es_in      = pciu_err_source_out ;
1143 2 mihad
wire            conf_pci_err_rty_exp_in = pciu_err_rty_exp_out ;
1144
wire            conf_pci_err_sig_in     = pciu_err_signal_out ;
1145
wire    [31:0]  conf_pci_err_addr_in    = pciu_err_addr_out ;
1146
wire    [31:0]  conf_pci_err_data_in    = pciu_err_data_out ;
1147
 
1148
wire    [3:0]   conf_wb_err_be_in       =   out_bckp_cbe_out ;
1149
wire    [3:0]   conf_wb_err_bc_in       =   wbu_err_bc_out ;
1150
wire            conf_wb_err_rty_exp_in  =   wbu_err_rty_exp_out ;
1151
wire            conf_wb_err_es_in       =   wbu_err_source_out ;
1152
wire            conf_wb_err_sig_in      =   wbu_err_signal_out ;
1153
wire    [31:0]  conf_wb_err_addr_in     =   wbu_err_addr_out ;
1154
wire    [31:0]  conf_wb_err_data_in     =   out_bckp_ad_out ;
1155
 
1156 21 mihad
wire            conf_isr_int_prop_in    =   pci_into_conf_isr_int_prop_out ;
1157
wire            conf_par_err_int_in     =   parchk_perr_mas_detect_out ;
1158
wire            conf_sys_err_int_in     =   parchk_sig_serr_out ;
1159 2 mihad
 
1160 77 mihad
pci_conf_space configuration(
1161 21 mihad
                                .reset                      (reset),
1162
                                .pci_clk                    (pci_clk),
1163
                                .wb_clk                     (wb_clk),
1164
                                .w_conf_address_in          (conf_w_addr_in),
1165
                                .w_conf_data_in             (conf_w_data_in),
1166
                                .w_conf_data_out            (conf_w_data_out),
1167
                                .r_conf_address_in          (conf_r_addr_in),
1168
                                .r_conf_data_out            (conf_r_data_out),
1169
                                .w_we                       (conf_w_we_in),
1170
                                .w_re                       (conf_w_re_in),
1171
                                .r_re                       (conf_r_re_in),
1172
                                .w_byte_en                  (conf_w_be_in),
1173
                                .w_clock                    (conf_w_clock),
1174
                                .serr_enable                (conf_serr_enable_out),
1175
                                .perr_response              (conf_perr_response_out),
1176
                                .pci_master_enable          (conf_pci_master_enable_out),
1177
                                .memory_space_enable        (conf_mem_space_enable_out),
1178
                                .io_space_enable            (conf_io_space_enable_out),
1179
                                .perr_in                    (conf_perr_in),
1180
                                .serr_in                    (conf_serr_in),
1181
                                .master_abort_recv          (conf_master_abort_recv_in),
1182
                                .target_abort_recv          (conf_target_abort_recv_in),
1183
                                .target_abort_set           (conf_target_abort_set_in),
1184
                                .master_data_par_err        (conf_master_data_par_err_in),
1185
                                .cache_line_size_to_pci     (conf_cache_line_size_to_pci_out),
1186
                                .cache_line_size_to_wb      (conf_cache_line_size_to_wb_out),
1187
                                .cache_lsize_not_zero_to_wb (conf_cache_lsize_not_zero_to_wb_out),
1188
                                .latency_tim                (conf_latency_tim_out),
1189
                                .pci_base_addr0             (conf_pci_ba0_out),
1190
                                .pci_base_addr1             (conf_pci_ba1_out),
1191
                                .pci_base_addr2             (conf_pci_ba2_out),
1192
                                .pci_base_addr3             (conf_pci_ba3_out),
1193
                                .pci_base_addr4             (conf_pci_ba4_out),
1194
                                .pci_base_addr5             (conf_pci_ba5_out),
1195
                                .pci_memory_io0             (conf_pci_mem_io0_out),
1196
                                .pci_memory_io1             (conf_pci_mem_io1_out),
1197
                                .pci_memory_io2             (conf_pci_mem_io2_out),
1198
                                .pci_memory_io3             (conf_pci_mem_io3_out),
1199
                                .pci_memory_io4             (conf_pci_mem_io4_out),
1200
                                .pci_memory_io5             (conf_pci_mem_io5_out),
1201
                                .pci_addr_mask0             (conf_pci_am0_out),
1202
                                .pci_addr_mask1             (conf_pci_am1_out),
1203
                                .pci_addr_mask2             (conf_pci_am2_out),
1204
                                .pci_addr_mask3             (conf_pci_am3_out),
1205
                                .pci_addr_mask4             (conf_pci_am4_out),
1206
                                .pci_addr_mask5             (conf_pci_am5_out),
1207
                                .pci_tran_addr0             (conf_pci_ta0_out),
1208
                                .pci_tran_addr1             (conf_pci_ta1_out),
1209
                                .pci_tran_addr2             (conf_pci_ta2_out),
1210
                                .pci_tran_addr3             (conf_pci_ta3_out),
1211
                                .pci_tran_addr4             (conf_pci_ta4_out),
1212
                                .pci_tran_addr5             (conf_pci_ta5_out),
1213
                                .pci_img_ctrl0              (conf_pci_img_ctrl0_out),
1214
                                .pci_img_ctrl1              (conf_pci_img_ctrl1_out),
1215
                                .pci_img_ctrl2              (conf_pci_img_ctrl2_out),
1216
                                .pci_img_ctrl3              (conf_pci_img_ctrl3_out),
1217
                                .pci_img_ctrl4              (conf_pci_img_ctrl4_out),
1218
                                .pci_img_ctrl5              (conf_pci_img_ctrl5_out),
1219
                                .pci_error_be               (conf_pci_err_be_in),
1220
                                .pci_error_bc               (conf_pci_err_bc_in),
1221
                                .pci_error_rty_exp          (conf_pci_err_rty_exp_in),
1222
                                .pci_error_es               (conf_pci_err_es_in),
1223
                                .pci_error_sig              (conf_pci_err_sig_in),
1224
                                .pci_error_addr             (conf_pci_err_addr_in),
1225
                                .pci_error_data             (conf_pci_err_data_in),
1226
                                .wb_base_addr0              (conf_wb_ba0_out),
1227
                                .wb_base_addr1              (conf_wb_ba1_out),
1228
                                .wb_base_addr2              (conf_wb_ba2_out),
1229
                                .wb_base_addr3              (conf_wb_ba3_out),
1230
                                .wb_base_addr4              (conf_wb_ba4_out),
1231
                                .wb_base_addr5              (conf_wb_ba5_out),
1232
                                .wb_memory_io0              (conf_wb_mem_io0_out),
1233
                                .wb_memory_io1              (conf_wb_mem_io1_out),
1234
                                .wb_memory_io2              (conf_wb_mem_io2_out),
1235
                                .wb_memory_io3              (conf_wb_mem_io3_out),
1236
                                .wb_memory_io4              (conf_wb_mem_io4_out),
1237
                                .wb_memory_io5              (conf_wb_mem_io5_out),
1238
                                .wb_addr_mask0              (conf_wb_am0_out),
1239
                                .wb_addr_mask1              (conf_wb_am1_out),
1240
                                .wb_addr_mask2              (conf_wb_am2_out),
1241
                                .wb_addr_mask3              (conf_wb_am3_out),
1242
                                .wb_addr_mask4              (conf_wb_am4_out),
1243
                                .wb_addr_mask5              (conf_wb_am5_out),
1244
                                .wb_tran_addr0              (conf_wb_ta0_out),
1245
                                .wb_tran_addr1              (conf_wb_ta1_out),
1246
                                .wb_tran_addr2              (conf_wb_ta2_out),
1247
                                .wb_tran_addr3              (conf_wb_ta3_out),
1248
                                .wb_tran_addr4              (conf_wb_ta4_out),
1249
                                .wb_tran_addr5              (conf_wb_ta5_out),
1250
                                .wb_img_ctrl0               (conf_wb_img_ctrl0_out),
1251
                                .wb_img_ctrl1               (conf_wb_img_ctrl1_out),
1252
                                .wb_img_ctrl2               (conf_wb_img_ctrl2_out),
1253
                                .wb_img_ctrl3               (conf_wb_img_ctrl3_out),
1254
                                .wb_img_ctrl4               (conf_wb_img_ctrl4_out),
1255
                                .wb_img_ctrl5               (conf_wb_img_ctrl5_out),
1256
                                .wb_error_be                (conf_wb_err_be_in),
1257
                                .wb_error_bc                (conf_wb_err_bc_in),
1258
                                .wb_error_rty_exp           (conf_wb_err_rty_exp_in),
1259
                                .wb_error_es                (conf_wb_err_es_in),
1260
                                .wb_error_sig               (conf_wb_err_sig_in),
1261
                                .wb_error_addr              (conf_wb_err_addr_in),
1262
                                .wb_error_data              (conf_wb_err_data_in),
1263
                                .config_addr                (conf_ccyc_addr_out),
1264
                                .icr_soft_res               (conf_soft_res_out),
1265
                                .int_out                    (conf_int_out),
1266
                                .isr_int_prop               (conf_isr_int_prop_in),
1267
                                .isr_par_err_int            (conf_par_err_int_in),
1268
                                .isr_sys_err_int            (conf_sys_err_int_in)
1269 2 mihad
                            ) ;
1270
 
1271
// pci data io multiplexer inputs
1272 21 mihad
wire            pci_mux_tar_ad_en_in            = pciu_pciif_ad_en_out ;
1273
wire            pci_mux_tar_ad_en_reg_in        = out_bckp_tar_ad_en_out ;
1274
wire    [31:0]  pci_mux_tar_ad_in               = pciu_pciif_ad_out ;
1275
wire            pci_mux_devsel_in               = pciu_pciif_devsel_out ;
1276
wire            pci_mux_devsel_en_in            = pciu_pciif_devsel_en_out ;
1277
wire            pci_mux_trdy_in                 = pciu_pciif_trdy_out ;
1278
wire            pci_mux_trdy_en_in              = pciu_pciif_trdy_en_out ;
1279
wire            pci_mux_stop_in                 = pciu_pciif_stop_out ;
1280
wire            pci_mux_stop_en_in              = pciu_pciif_stop_en_out ;
1281
wire            pci_mux_tar_load_in             = pciu_ad_load_out ;
1282
wire            pci_mux_tar_load_on_transfer_in = pciu_ad_load_on_transfer_out ;
1283 2 mihad
 
1284
wire            pci_mux_mas_ad_en_in    = wbu_pciif_ad_en_out ;
1285
wire    [31:0]  pci_mux_mas_ad_in       = wbu_pciif_ad_out ;
1286
 
1287 21 mihad
wire            pci_mux_frame_in                = wbu_pciif_frame_out ;
1288
wire            pci_mux_frame_en_in             = wbu_pciif_frame_en_out ;
1289
wire            pci_mux_irdy_in                 = wbu_pciif_irdy_out;
1290
wire            pci_mux_irdy_en_in              = wbu_pciif_irdy_en_out;
1291
wire            pci_mux_mas_load_in             = wbu_ad_load_out ;
1292
wire            pci_mux_mas_load_on_transfer_in = wbu_ad_load_on_transfer_out ;
1293
wire [3:0]      pci_mux_cbe_in                  = wbu_pciif_cbe_out ;
1294
wire            pci_mux_cbe_en_in               = wbu_pciif_cbe_en_out ;
1295 2 mihad
 
1296
wire            pci_mux_par_in              = parchk_pci_par_out ;
1297 21 mihad
wire            pci_mux_par_en_in           = parchk_pci_par_en_out ;
1298 2 mihad
wire            pci_mux_perr_in             = parchk_pci_perr_out ;
1299
wire            pci_mux_perr_en_in          = parchk_pci_perr_en_out ;
1300
wire            pci_mux_serr_in             = parchk_pci_serr_out ;
1301
wire            pci_mux_serr_en_in          = parchk_pci_serr_en_out;
1302
 
1303 21 mihad
wire            pci_mux_req_in              =   wbu_pciif_req_out ;
1304 2 mihad
wire            pci_mux_frame_load_in       =   wbu_pciif_frame_load_out ;
1305
 
1306 77 mihad
wire            pci_mux_pci_irdy_in         =   pci_irdy_i ;
1307
wire            pci_mux_pci_trdy_in         =   pci_trdy_i ;
1308
wire            pci_mux_pci_frame_in        =   pci_frame_i ;
1309
wire            pci_mux_pci_stop_in         =   pci_stop_i ;
1310 21 mihad
 
1311 77 mihad
pci_io_mux pci_io_mux
1312 2 mihad
(
1313 21 mihad
    .reset_in                   (reset),
1314
    .clk_in                     (pci_clk),
1315
    .frame_in                   (pci_mux_frame_in),
1316
    .frame_en_in                (pci_mux_frame_en_in),
1317
    .frame_load_in              (pci_mux_frame_load_in),
1318
    .irdy_in                    (pci_mux_irdy_in),
1319
    .irdy_en_in                 (pci_mux_irdy_en_in),
1320
    .devsel_in                  (pci_mux_devsel_in),
1321
    .devsel_en_in               (pci_mux_devsel_en_in),
1322
    .trdy_in                    (pci_mux_trdy_in),
1323
    .trdy_en_in                 (pci_mux_trdy_en_in),
1324
    .stop_in                    (pci_mux_stop_in),
1325
    .stop_en_in                 (pci_mux_stop_en_in),
1326
    .master_load_in             (pci_mux_mas_load_in),
1327
    .master_load_on_transfer_in (pci_mux_mas_load_on_transfer_in),
1328
    .target_load_in             (pci_mux_tar_load_in),
1329
    .target_load_on_transfer_in (pci_mux_tar_load_on_transfer_in),
1330
    .cbe_in                     (pci_mux_cbe_in),
1331
    .cbe_en_in                  (pci_mux_cbe_en_in),
1332
    .mas_ad_in                  (pci_mux_mas_ad_in),
1333
    .tar_ad_in                  (pci_mux_tar_ad_in),
1334 2 mihad
 
1335 21 mihad
    .mas_ad_en_in               (pci_mux_mas_ad_en_in),
1336
    .tar_ad_en_in               (pci_mux_tar_ad_en_in),
1337
    .tar_ad_en_reg_in           (pci_mux_tar_ad_en_reg_in),
1338 2 mihad
 
1339 21 mihad
    .par_in                     (pci_mux_par_in),
1340
    .par_en_in                  (pci_mux_par_en_in),
1341
    .perr_in                    (pci_mux_perr_in),
1342
    .perr_en_in                 (pci_mux_perr_en_in),
1343
    .serr_in                    (pci_mux_serr_in),
1344
    .serr_en_in                 (pci_mux_serr_en_in),
1345 2 mihad
 
1346 21 mihad
    .frame_en_out               (pci_mux_frame_en_out),
1347
    .irdy_en_out                (pci_mux_irdy_en_out),
1348
    .devsel_en_out              (pci_mux_devsel_en_out),
1349
    .trdy_en_out                (pci_mux_trdy_en_out),
1350
    .stop_en_out                (pci_mux_stop_en_out),
1351
    .cbe_en_out                 (pci_mux_cbe_en_out),
1352
    .ad_en_out                  (pci_mux_ad_en_out),
1353 2 mihad
 
1354 21 mihad
    .frame_out                  (pci_mux_frame_out),
1355
    .irdy_out                   (pci_mux_irdy_out),
1356
    .devsel_out                 (pci_mux_devsel_out),
1357
    .trdy_out                   (pci_mux_trdy_out),
1358
    .stop_out                   (pci_mux_stop_out),
1359
    .cbe_out                    (pci_mux_cbe_out),
1360
    .ad_out                     (pci_mux_ad_out),
1361
    .ad_load_out                (pci_mux_ad_load_out),
1362
 
1363
    .par_out                    (pci_mux_par_out),
1364
    .par_en_out                 (pci_mux_par_en_out),
1365
    .perr_out                   (pci_mux_perr_out),
1366
    .perr_en_out                (pci_mux_perr_en_out),
1367
    .serr_out                   (pci_mux_serr_out),
1368
    .serr_en_out                (pci_mux_serr_en_out),
1369
    .req_in                     (pci_mux_req_in),
1370
    .req_out                    (pci_mux_req_out),
1371
    .req_en_out                 (pci_mux_req_en_out),
1372
    .pci_irdy_in                (pci_mux_pci_irdy_in),
1373
    .pci_trdy_in                (pci_mux_pci_trdy_in),
1374
    .pci_frame_in               (pci_mux_pci_frame_in),
1375
    .pci_stop_in                (pci_mux_pci_stop_in),
1376
    .ad_en_unregistered_out     (pci_mux_ad_en_unregistered_out)
1377 2 mihad
);
1378
 
1379 77 mihad
pci_cur_out_reg output_backup
1380 2 mihad
(
1381 21 mihad
    .reset_in               (reset),
1382
    .clk_in                 (pci_clk),
1383
    .frame_in               (pci_mux_frame_in),
1384
    .frame_en_in            (pci_mux_frame_en_in),
1385
    .frame_load_in          (pci_mux_frame_load_in),
1386
    .irdy_in                (pci_mux_irdy_in),
1387
    .irdy_en_in             (pci_mux_irdy_en_in),
1388
    .devsel_in              (pci_mux_devsel_in),
1389
    .trdy_in                (pci_mux_trdy_in),
1390
    .trdy_en_in             (pci_mux_trdy_en_in),
1391
    .stop_in                (pci_mux_stop_in),
1392
    .ad_load_in             (pci_mux_ad_load_out),
1393
    .cbe_in                 (pci_mux_cbe_in),
1394
    .cbe_en_in              (pci_mux_cbe_en_in),
1395
    .mas_ad_in              (pci_mux_mas_ad_in),
1396
    .tar_ad_in              (pci_mux_tar_ad_in),
1397 2 mihad
 
1398 21 mihad
    .mas_ad_en_in           (pci_mux_mas_ad_en_in),
1399
    .tar_ad_en_in           (pci_mux_tar_ad_en_in),
1400
    .ad_en_unregistered_in  (pci_mux_ad_en_unregistered_out),
1401
 
1402
    .par_in                 (pci_mux_par_in),
1403
    .par_en_in              (pci_mux_par_en_in),
1404
    .perr_in                (pci_mux_perr_in),
1405
    .perr_en_in             (pci_mux_perr_en_in),
1406
    .serr_in                (pci_mux_serr_in),
1407
    .serr_en_in             (pci_mux_serr_en_in),
1408
 
1409
    .frame_out              (out_bckp_frame_out),
1410
    .frame_en_out           (out_bckp_frame_en_out),
1411
    .irdy_out               (out_bckp_irdy_out),
1412
    .irdy_en_out            (out_bckp_irdy_en_out),
1413
    .devsel_out             (out_bckp_devsel_out),
1414
    .trdy_out               (out_bckp_trdy_out),
1415
    .trdy_en_out            (out_bckp_trdy_en_out),
1416
    .stop_out               (out_bckp_stop_out),
1417
    .cbe_out                (out_bckp_cbe_out),
1418
    .ad_out                 (out_bckp_ad_out),
1419
    .ad_en_out              (out_bckp_ad_en_out),
1420
    .cbe_en_out             (out_bckp_cbe_en_out),
1421
    .tar_ad_en_out          (out_bckp_tar_ad_en_out),
1422
    .mas_ad_en_out          (out_bckp_mas_ad_en_out),
1423
 
1424
    .par_out                (out_bckp_par_out),
1425
    .par_en_out             (out_bckp_par_en_out),
1426
    .perr_out               (out_bckp_perr_out),
1427
    .perr_en_out            (out_bckp_perr_en_out),
1428
    .serr_out               (out_bckp_serr_out),
1429
    .serr_en_out            (out_bckp_serr_en_out)
1430 2 mihad
) ;
1431
 
1432
// PARITY CHECKER INPUTS
1433 77 mihad
wire            parchk_pci_par_in               =   pci_par_i ;
1434
wire            parchk_pci_perr_in              =   pci_perr_i ;
1435 2 mihad
wire            parchk_pci_frame_reg_in         =   in_reg_frame_out ;
1436 21 mihad
wire            parchk_pci_frame_en_in          =   out_bckp_frame_en_out ;
1437 2 mihad
wire            parchk_pci_irdy_en_in           =   out_bckp_irdy_en_out ;
1438 21 mihad
wire            parchk_pci_irdy_reg_in          =   in_reg_irdy_out ;
1439
wire            parchk_pci_trdy_reg_in          =   in_reg_trdy_out ;
1440 2 mihad
 
1441
 
1442 21 mihad
wire            parchk_pci_trdy_en_in           =   out_bckp_trdy_en_out ;
1443 2 mihad
 
1444
 
1445 21 mihad
wire    [31:0]  parchk_pci_ad_out_in            =   out_bckp_ad_out ;
1446 2 mihad
wire    [31:0]  parchk_pci_ad_reg_in            =   in_reg_ad_out ;
1447 77 mihad
wire    [3:0]   parchk_pci_cbe_in_in            =   pci_cbe_i ;
1448 21 mihad
wire    [3:0]   parchk_pci_cbe_reg_in           =   in_reg_cbe_out ;
1449 2 mihad
wire    [3:0]   parchk_pci_cbe_out_in           =   out_bckp_cbe_out ;
1450
wire            parchk_pci_ad_en_in             =   out_bckp_ad_en_out ;
1451
wire            parchk_par_err_response_in      =   conf_perr_response_out ;
1452
wire            parchk_serr_enable_in           =   conf_serr_enable_out ;
1453
 
1454
wire            parchk_pci_perr_out_in          =   out_bckp_perr_out ;
1455
wire            parchk_pci_serr_en_in           =   out_bckp_serr_en_out ;
1456
wire            parchk_pci_serr_out_in          =   out_bckp_serr_out ;
1457
wire            parchk_pci_cbe_en_in            =   out_bckp_cbe_en_out ;
1458
 
1459
wire            parchk_pci_par_en_in            =   out_bckp_par_en_out ;
1460
 
1461 77 mihad
pci_parity_check parity_checker
1462 2 mihad
(
1463
    .reset_in               (reset),
1464
    .clk_in                 (pci_clk),
1465
    .pci_par_in             (parchk_pci_par_in),
1466
    .pci_par_out            (parchk_pci_par_out),
1467
    .pci_par_en_out         (parchk_pci_par_en_out),
1468
    .pci_par_en_in          (parchk_pci_par_en_in),
1469
    .pci_perr_in            (parchk_pci_perr_in),
1470
    .pci_perr_out           (parchk_pci_perr_out),
1471
    .pci_perr_en_out        (parchk_pci_perr_en_out),
1472
    .pci_perr_out_in        (parchk_pci_perr_out_in),
1473
    .pci_serr_out           (parchk_pci_serr_out),
1474
    .pci_serr_out_in        (parchk_pci_serr_out_in),
1475
    .pci_serr_en_out        (parchk_pci_serr_en_out),
1476
    .pci_serr_en_in         (parchk_pci_serr_en_in),
1477
    .pci_frame_reg_in       (parchk_pci_frame_reg_in),
1478
    .pci_frame_en_in        (parchk_pci_frame_en_in),
1479
    .pci_irdy_en_in         (parchk_pci_irdy_en_in),
1480
    .pci_irdy_reg_in        (parchk_pci_irdy_reg_in),
1481
    .pci_trdy_reg_in        (parchk_pci_trdy_reg_in),
1482
    .pci_trdy_en_in         (parchk_pci_trdy_en_in),
1483
    .pci_ad_out_in          (parchk_pci_ad_out_in),
1484
    .pci_ad_reg_in          (parchk_pci_ad_reg_in),
1485
    .pci_cbe_in_in          (parchk_pci_cbe_in_in),
1486 21 mihad
    .pci_cbe_reg_in         (parchk_pci_cbe_reg_in),
1487 2 mihad
    .pci_cbe_en_in          (parchk_pci_cbe_en_in),
1488
    .pci_cbe_out_in         (parchk_pci_cbe_out_in),
1489
    .pci_ad_en_in           (parchk_pci_ad_en_in),
1490
    .par_err_response_in    (parchk_par_err_response_in),
1491
    .par_err_detect_out     (parchk_par_err_detect_out),
1492
    .perr_mas_detect_out    (parchk_perr_mas_detect_out),
1493
    .serr_enable_in         (parchk_serr_enable_in),
1494
    .sig_serr_out           (parchk_sig_serr_out)
1495
);
1496
 
1497 77 mihad
wire            in_reg_gnt_in    = pci_gnt_i ;
1498
wire            in_reg_frame_in  = pci_frame_i ;
1499
wire            in_reg_irdy_in   = pci_irdy_i ;
1500
wire            in_reg_trdy_in   = pci_trdy_i ;
1501
wire            in_reg_stop_in   = pci_stop_i ;
1502
wire            in_reg_devsel_in = pci_devsel_i ;
1503
wire            in_reg_idsel_in  = pci_idsel_i ;
1504
wire    [31:0]  in_reg_ad_in     = pci_ad_i ;
1505
wire    [3:0]   in_reg_cbe_in    = pci_cbe_i ;
1506 2 mihad
 
1507 77 mihad
pci_in_reg input_register
1508 2 mihad
(
1509
    .reset_in       (reset),
1510
    .clk_in         (pci_clk),
1511 21 mihad
 
1512 2 mihad
    .pci_gnt_in     (in_reg_gnt_in),
1513
    .pci_frame_in   (in_reg_frame_in),
1514
    .pci_irdy_in    (in_reg_irdy_in),
1515
    .pci_trdy_in    (in_reg_trdy_in),
1516
    .pci_stop_in    (in_reg_stop_in),
1517
    .pci_devsel_in  (in_reg_devsel_in),
1518 21 mihad
    .pci_idsel_in   (in_reg_idsel_in),
1519 2 mihad
    .pci_ad_in      (in_reg_ad_in),
1520
    .pci_cbe_in     (in_reg_cbe_in),
1521 21 mihad
 
1522 2 mihad
    .pci_gnt_reg_out    (in_reg_gnt_out),
1523
    .pci_frame_reg_out  (in_reg_frame_out),
1524
    .pci_irdy_reg_out   (in_reg_irdy_out),
1525
    .pci_trdy_reg_out   (in_reg_trdy_out),
1526
    .pci_stop_reg_out   (in_reg_stop_out),
1527
    .pci_devsel_reg_out (in_reg_devsel_out),
1528 21 mihad
    .pci_idsel_reg_out  (in_reg_idsel_out),
1529 2 mihad
    .pci_ad_reg_out     (in_reg_ad_out),
1530
    .pci_cbe_reg_out    (in_reg_cbe_out)
1531
);
1532
 
1533 21 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.