OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_8/] [apps/] [crt/] [syn/] [synplify/] [pci_crt.prj] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 mihad
#-- Synplicity, Inc.
2 96 mihad
#-- Version 7.2
3 59 mihad
#-- Project file /shared/projects/pci/mihad/pci/apps/crt/syn/synplify/pci_crt.prj
4 96 mihad
#-- Written on Mon Mar 10 13:16:14 2003
5 59 mihad
 
6
 
7
#add_file options
8
add_file -verilog "$LIB/xilinx/virtex.v"
9 77 mihad
add_file -verilog "../../../../rtl/verilog/meta_flop.v"
10
add_file -verilog "../../../../rtl/verilog/pci_async_reset_flop.v"
11 59 mihad
add_file -verilog "../../../../rtl/verilog/pci_bridge32.v"
12 77 mihad
add_file -verilog "../../../../rtl/verilog/pci_cbe_en_crit.v"
13
add_file -verilog "../../../../rtl/verilog/pci_conf_cyc_addr_dec.v"
14
add_file -verilog "../../../../rtl/verilog/pci_conf_space.v"
15
add_file -verilog "../../../../rtl/verilog/pci_cur_out_reg.v"
16
add_file -verilog "../../../../rtl/verilog/pci_delayed_sync.v"
17
add_file -verilog "../../../../rtl/verilog/pci_delayed_write_reg.v"
18
add_file -verilog "../../../../rtl/verilog/pci_frame_crit.v"
19
add_file -verilog "../../../../rtl/verilog/pci_frame_en_crit.v"
20
add_file -verilog "../../../../rtl/verilog/pci_frame_load_crit.v"
21 59 mihad
add_file -verilog "../../../../rtl/verilog/pci_in_reg.v"
22
add_file -verilog "../../../../rtl/verilog/pci_io_mux_ad_en_crit.v"
23
add_file -verilog "../../../../rtl/verilog/pci_io_mux_ad_load_crit.v"
24
add_file -verilog "../../../../rtl/verilog/pci_io_mux.v"
25 77 mihad
add_file -verilog "../../../../rtl/verilog/pci_irdy_out_crit.v"
26
add_file -verilog "../../../../rtl/verilog/pci_mas_ad_en_crit.v"
27
add_file -verilog "../../../../rtl/verilog/pci_mas_ad_load_crit.v"
28
add_file -verilog "../../../../rtl/verilog/pci_mas_ch_state_crit.v"
29 59 mihad
add_file -verilog "../../../../rtl/verilog/pci_master32_sm_if.v"
30
add_file -verilog "../../../../rtl/verilog/pci_master32_sm.v"
31 77 mihad
add_file -verilog "../../../../rtl/verilog/pci_out_reg.v"
32
add_file -verilog "../../../../rtl/verilog/pci_par_crit.v"
33 59 mihad
add_file -verilog "../../../../rtl/verilog/pci_parity_check.v"
34 77 mihad
add_file -verilog "../../../../rtl/verilog/pci_pci_decoder.v"
35
add_file -verilog "../../../../rtl/verilog/pci_pcir_fifo_control.v"
36
add_file -verilog "../../../../rtl/verilog/pci_pci_tpram.v"
37
add_file -verilog "../../../../rtl/verilog/pci_pciw_fifo_control.v"
38
add_file -verilog "../../../../rtl/verilog/pci_pciw_pcir_fifos.v"
39
add_file -verilog "../../../../rtl/verilog/pci_perr_crit.v"
40
add_file -verilog "../../../../rtl/verilog/pci_perr_en_crit.v"
41 59 mihad
add_file -verilog "../../../../rtl/verilog/pci_ram_16x40d.v"
42
add_file -verilog "../../../../rtl/verilog/pci_rst_int.v"
43 77 mihad
add_file -verilog "../../../../rtl/verilog/pci_serr_crit.v"
44
add_file -verilog "../../../../rtl/verilog/pci_serr_en_crit.v"
45
add_file -verilog "../../../../rtl/verilog/pci_sync_module.v"
46 59 mihad
add_file -verilog "../../../../rtl/verilog/pci_target32_clk_en.v"
47
add_file -verilog "../../../../rtl/verilog/pci_target32_devs_crit.v"
48
add_file -verilog "../../../../rtl/verilog/pci_target32_interface.v"
49
add_file -verilog "../../../../rtl/verilog/pci_target32_sm.v"
50
add_file -verilog "../../../../rtl/verilog/pci_target32_stop_crit.v"
51
add_file -verilog "../../../../rtl/verilog/pci_target32_trdy_crit.v"
52
add_file -verilog "../../../../rtl/verilog/pci_target_unit.v"
53 77 mihad
add_file -verilog "../../../../rtl/verilog/pci_wb_addr_mux.v"
54
add_file -verilog "../../../../rtl/verilog/pci_wb_decoder.v"
55
add_file -verilog "../../../../rtl/verilog/pci_wb_master.v"
56
add_file -verilog "../../../../rtl/verilog/pci_wbr_fifo_control.v"
57
add_file -verilog "../../../../rtl/verilog/pci_wb_slave_unit.v"
58
add_file -verilog "../../../../rtl/verilog/pci_wb_slave.v"
59
add_file -verilog "../../../../rtl/verilog/pci_wb_tpram.v"
60
add_file -verilog "../../../../rtl/verilog/pci_wbw_fifo_control.v"
61
add_file -verilog "../../../../rtl/verilog/pci_wbw_wbr_fifos.v"
62 59 mihad
add_file -verilog "../../../../rtl/verilog/synchronizer_flop.v"
63
add_file -verilog "../../rtl/verilog/crtc_iob.v"
64
add_file -verilog "../../rtl/verilog/ssvga_crtc.v"
65
add_file -verilog "../../rtl/verilog/ssvga_fifo.v"
66
add_file -verilog "../../rtl/verilog/ssvga_top.v"
67
add_file -verilog "../../rtl/verilog/ssvga_wbm_if.v"
68
add_file -verilog "../../rtl/verilog/ssvga_wbs_if.v"
69
add_file -constraint "pci_crt.sdc"
70
add_file -verilog "../../rtl/verilog/top.v"
71
 
72
 
73
#implementation: "rev_1"
74
impl -add rev_1
75
 
76
#device options
77
set_option -technology SPARTAN2
78
set_option -part XC2S150
79
set_option -package PQ208
80
set_option -speed_grade -5
81
 
82
#compilation/mapping options
83
set_option -default_enum_encoding default
84 96 mihad
set_option -symbolic_fsm_compiler 1
85 59 mihad
set_option -resource_sharing 0
86
set_option -use_fsm_explorer 0
87
 
88
#map options
89
set_option -frequency 50.000
90
set_option -fanout_limit 50
91
set_option -disable_io_insertion 0
92 96 mihad
set_option -pipe 1
93
set_option -retiming 1
94 59 mihad
set_option -modular 0
95 96 mihad
set_option -update_models_cp 0
96
set_option -verification_mode 0
97 59 mihad
 
98
#simulation options
99
set_option -write_verilog 0
100
set_option -write_vhdl 0
101
 
102
#automatic place and route (vendor) options
103
set_option -write_apr_constraint 1
104
 
105
#set result format/file last
106
project -result_file "rev_1/top.edf"
107
 
108
#implementation attributes
109
set_option -compiler_compatible 0
110
set_option -random_floorplan 0
111 96 mihad
set_option -popfeed 1
112
set_option -constprop 1
113
set_option -createhierarchy 0
114 59 mihad
set_option -floorplan ""
115
set_option -nfilter_user_path ""
116
set_option -pin_assignment ""
117 96 mihad
set_option -include_path "../../rtl/verilog/;../../../../rtl/verilog/"
118 59 mihad
impl -active "rev_1"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.