OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_8/] [rtl/] [verilog/] [pci_target_unit.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name: pci_target_unit.v                                ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Tadej Markovic, tadej@opencores.org                   ////
10
////                                                              ////
11
////  All additional information is avaliable in the README.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2000 Tadej Markovic, tadej@opencores.org       ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 116 tadejm
// Revision 1.12  2003/08/08 16:36:33  tadejm
46
// Added 'three_left_out' to pci_pciw_fifo signaling three locations before full. Added comparison between current registered cbe and next unregistered cbe to signal wb_master whether it is allowed to performe burst or not. Due to this, I needed 'three_left_out' so that writing to pci_pciw_fifo can be registered, otherwise timing problems would occure.
47
//
48 108 tadejm
// Revision 1.11  2003/01/27 16:49:31  mihad
49
// Changed module and file names. Updated scripts accordingly. FIFO synchronizations changed.
50
//
51 77 mihad
// Revision 1.10  2002/10/18 03:36:37  tadejm
52
// Changed wrong signal name scanb_sen into scanb_en.
53
//
54 68 tadejm
// Revision 1.9  2002/10/17 22:51:08  tadejm
55
// Changed BIST signals for RAMs.
56
//
57 67 tadejm
// Revision 1.8  2002/10/11 10:09:01  mihad
58
// Added additional testcase and changed rst name in BIST to trst
59
//
60 63 mihad
// Revision 1.7  2002/10/08 17:17:05  mihad
61
// Added BIST signals for RAMs.
62
//
63 62 mihad
// Revision 1.6  2002/09/25 15:53:52  mihad
64
// Removed all logic from asynchronous reset network
65
//
66 58 mihad
// Revision 1.5  2002/03/05 11:53:47  mihad
67
// Added some testcases, removed un-needed fifo signals
68
//
69 33 mihad
// Revision 1.4  2002/02/19 16:32:37  mihad
70
// Modified testbench and fixed some bugs
71
//
72 26 mihad
// Revision 1.3  2002/02/01 15:25:13  mihad
73
// Repaired a few bugs, updated specification, added test bench files and design document
74
//
75 21 mihad
// Revision 1.2  2001/10/05 08:14:30  mihad
76
// Updated all files with inclusion of timescale file for simulation purposes.
77
//
78 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
79
// New project directory structure
80 2 mihad
//
81 6 mihad
//
82 2 mihad
 
83
// Module instantiates and connects other modules lower in hierarcy
84
// PCI target unit consists of modules that together form datapath
85
// between external WISHBONE slaves and external PCI initiators
86 21 mihad
`include "pci_constants.v"
87
 
88
// synopsys translate_off
89 6 mihad
`include "timescale.v"
90 21 mihad
// synopsys translate_on
91 2 mihad
 
92 77 mihad
module pci_target_unit
93 2 mihad
(
94
    reset_in,
95
    wb_clock_in,
96
    pci_clock_in,
97 116 tadejm
 
98
    pciu_wbm_adr_o,
99
    pciu_wbm_dat_o,
100
    pciu_wbm_dat_i,
101
    pciu_wbm_cyc_o,
102
    pciu_wbm_stb_o,
103
    pciu_wbm_we_o,
104
    pciu_wbm_cti_o,
105
    pciu_wbm_bte_o,
106
    pciu_wbm_sel_o,
107
    pciu_wbm_ack_i,
108
    pciu_wbm_rty_i,
109
    pciu_wbm_err_i,
110 21 mihad
    pciu_mem_enable_in,
111
    pciu_io_enable_in,
112 2 mihad
    pciu_map_in,
113
    pciu_pref_en_in,
114 21 mihad
    pciu_conf_data_in,
115 2 mihad
    pciu_wbw_fifo_empty_in,
116 21 mihad
    pciu_wbu_del_read_comp_pending_in,
117 2 mihad
    pciu_wbu_frame_en_in,
118 21 mihad
    pciu_bar0_in,
119
    pciu_bar1_in,
120
    pciu_bar2_in,
121
    pciu_bar3_in,
122
    pciu_bar4_in,
123
    pciu_bar5_in,
124
    pciu_am0_in,
125
    pciu_am1_in,
126
    pciu_am2_in,
127
    pciu_am3_in,
128
    pciu_am4_in,
129
    pciu_am5_in,
130
    pciu_ta0_in,
131
    pciu_ta1_in,
132
    pciu_ta2_in,
133
    pciu_ta3_in,
134
    pciu_ta4_in,
135
    pciu_ta5_in,
136
    pciu_at_en_in,
137
    pciu_cache_line_size_in,
138
    pciu_cache_lsize_not_zero_in,
139
    pciu_pciif_frame_in,
140
    pciu_pciif_irdy_in,
141
    pciu_pciif_idsel_in,
142
    pciu_pciif_frame_reg_in,
143
    pciu_pciif_irdy_reg_in,
144
    pciu_pciif_idsel_reg_in,
145
    pciu_pciif_ad_reg_in,
146
    pciu_pciif_cbe_reg_in,
147 108 tadejm
    pciu_pciif_cbe_in,
148 21 mihad
    pciu_pciif_bckp_trdy_en_in,
149
    pciu_pciif_bckp_devsel_in,
150
    pciu_pciif_bckp_trdy_in,
151
    pciu_pciif_bckp_stop_in,
152
    pciu_pciif_trdy_reg_in,
153
    pciu_pciif_stop_reg_in,
154
    pciu_pciif_trdy_out,
155
    pciu_pciif_stop_out,
156
    pciu_pciif_devsel_out,
157
    pciu_pciif_trdy_en_out,
158
    pciu_pciif_stop_en_out,
159
    pciu_pciif_devsel_en_out,
160
    pciu_ad_load_out,
161
    pciu_ad_load_on_transfer_out,
162
    pciu_pciif_ad_out,
163
    pciu_pciif_ad_en_out,
164
    pciu_pciif_tabort_set_out,
165
    pciu_err_addr_out,
166 2 mihad
    pciu_err_bc_out,
167
    pciu_err_data_out,
168 21 mihad
    pciu_err_be_out,
169
    pciu_err_signal_out,
170
    pciu_err_source_out,
171 2 mihad
    pciu_err_rty_exp_out,
172
    pciu_conf_offset_out,
173
    pciu_conf_renable_out,
174
    pciu_conf_wenable_out,
175 21 mihad
    pciu_conf_be_out,
176
    pciu_conf_data_out,
177 2 mihad
    pciu_conf_select_out,
178
    pciu_pci_drcomp_pending_out,
179
    pciu_pciw_fifo_empty_out
180 62 mihad
 
181
`ifdef PCI_BIST
182
    ,
183
    // debug chain signals
184 67 tadejm
    scanb_rst,      // bist scan reset
185
    scanb_clk,      // bist scan clock
186
    scanb_si,       // bist scan serial in
187
    scanb_so,       // bist scan serial out
188 68 tadejm
    scanb_en        // bist scan shift enable
189 62 mihad
`endif
190 2 mihad
);
191
 
192
input reset_in,
193
      wb_clock_in,
194
      pci_clock_in ;
195
 
196 116 tadejm
output  [31:0]  pciu_wbm_adr_o   ;
197
output  [31:0]  pciu_wbm_dat_o ;
198
input   [31:0]  pciu_wbm_dat_i ;
199
output          pciu_wbm_cyc_o   ;
200
output          pciu_wbm_stb_o   ;
201
output          pciu_wbm_we_o    ;
202
output  [2:0]   pciu_wbm_cti_o   ;
203
output  [1:0]   pciu_wbm_bte_o   ;
204
output  [3:0]   pciu_wbm_sel_o   ;
205
input           pciu_wbm_ack_i   ;
206
input           pciu_wbm_rty_i   ;
207
input           pciu_wbm_err_i   ;
208 2 mihad
 
209
input           pciu_wbw_fifo_empty_in ;
210 21 mihad
input                   pciu_wbu_del_read_comp_pending_in ;
211
input           pciu_wbu_frame_en_in ;
212 2 mihad
 
213
input           pciu_mem_enable_in ;
214
input           pciu_io_enable_in ;
215
input   [5:0]   pciu_map_in ;
216
input   [5:0]   pciu_pref_en_in ;
217
input   [31:0]  pciu_conf_data_in ;
218
 
219 21 mihad
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in ;
220
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in ;
221
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in ;
222
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in ;
223
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in ;
224
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in ;
225
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in ;
226
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in ;
227
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in ;
228
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in ;
229
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in ;
230
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in ;
231
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in ;
232
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in ;
233
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in ;
234
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in ;
235
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in ;
236
input   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in ;
237 2 mihad
input   [5:0]                               pciu_at_en_in ;
238
 
239
input   [7:0]   pciu_cache_line_size_in ;
240 21 mihad
input           pciu_cache_lsize_not_zero_in ;
241 2 mihad
 
242 21 mihad
input           pciu_pciif_frame_in ;
243
input           pciu_pciif_irdy_in ;
244 2 mihad
input           pciu_pciif_idsel_in ;
245
input           pciu_pciif_frame_reg_in ;
246
input           pciu_pciif_irdy_reg_in ;
247
input           pciu_pciif_idsel_reg_in ;
248
input  [31:0]   pciu_pciif_ad_reg_in ;
249
input   [3:0]   pciu_pciif_cbe_reg_in ;
250 108 tadejm
input   [3:0]   pciu_pciif_cbe_in;
251 21 mihad
input           pciu_pciif_bckp_trdy_en_in ;
252
input           pciu_pciif_bckp_devsel_in ;
253
input           pciu_pciif_bckp_trdy_in ;
254
input           pciu_pciif_bckp_stop_in ;
255
input           pciu_pciif_trdy_reg_in ;
256
input           pciu_pciif_stop_reg_in ;
257 2 mihad
 
258
 
259 21 mihad
output          pciu_pciif_trdy_out ;
260
output          pciu_pciif_stop_out ;
261
output          pciu_pciif_devsel_out ;
262 2 mihad
output          pciu_pciif_trdy_en_out ;
263
output          pciu_pciif_stop_en_out ;
264
output          pciu_pciif_devsel_en_out ;
265 21 mihad
output          pciu_ad_load_out ;
266
output          pciu_ad_load_on_transfer_out ;
267
output [31:0]   pciu_pciif_ad_out ;
268
output          pciu_pciif_ad_en_out ;
269
output          pciu_pciif_tabort_set_out ;
270 2 mihad
 
271
output  [31:0]  pciu_err_addr_out ;
272
output  [3:0]   pciu_err_bc_out ;
273 21 mihad
output  [31:0]  pciu_err_data_out ;
274
output  [3:0]   pciu_err_be_out ;
275 2 mihad
output          pciu_err_signal_out ;
276
output          pciu_err_source_out ;
277
output          pciu_err_rty_exp_out ;
278
 
279 21 mihad
output          pciu_conf_select_out ;
280 2 mihad
output  [11:0]  pciu_conf_offset_out ;
281
output          pciu_conf_renable_out ;
282
output          pciu_conf_wenable_out ;
283
output  [3:0]   pciu_conf_be_out ;
284
output  [31:0]  pciu_conf_data_out ;
285
 
286 21 mihad
output          pciu_pci_drcomp_pending_out ;
287
output          pciu_pciw_fifo_empty_out ;
288 2 mihad
 
289 62 mihad
`ifdef PCI_BIST
290
/*-----------------------------------------------------
291
BIST debug chain port signals
292
-----------------------------------------------------*/
293 67 tadejm
input   scanb_rst;      // bist scan reset
294
input   scanb_clk;      // bist scan clock
295
input   scanb_si;       // bist scan serial in
296
output  scanb_so;       // bist scan serial out
297 68 tadejm
input   scanb_en;       // bist scan shift enable
298 62 mihad
`endif
299 2 mihad
 
300 62 mihad
 
301 2 mihad
// pci target state machine and interface outputs
302
wire        pcit_sm_trdy_out ;
303
wire        pcit_sm_stop_out ;
304
wire        pcit_sm_devsel_out ;
305
wire        pcit_sm_trdy_en_out ;
306
wire        pcit_sm_stop_en_out ;
307
wire        pcit_sm_devsel_en_out ;
308 21 mihad
wire        pcit_sm_ad_load_out ;
309
wire        pcit_sm_ad_load_on_transfer_out ;
310 2 mihad
wire [31:0] pcit_sm_ad_out ;
311
wire        pcit_sm_ad_en_out ;
312
wire [31:0] pcit_sm_address_out ;
313
wire  [3:0] pcit_sm_bc_out ;
314 21 mihad
wire        pcit_sm_bc0_out ;
315 2 mihad
wire [31:0] pcit_sm_data_out ;
316
wire  [3:0] pcit_sm_be_out ;
317 108 tadejm
wire  [3:0] pcit_sm_next_be_out ;
318 2 mihad
wire        pcit_sm_req_out ;
319
wire        pcit_sm_rdy_out ;
320 21 mihad
wire        pcit_sm_addr_phase_out ;
321
wire            pcit_sm_bckp_devsel_out ;
322
wire        pcit_sm_bckp_trdy_out ;
323
wire            pcit_sm_bckp_stop_out ;
324
wire        pcit_sm_last_reg_out ;
325
wire        pcit_sm_frame_reg_out ;
326
wire        pcit_sm_fetch_pcir_fifo_out ;
327
wire        pcit_sm_load_medium_reg_out ;
328
wire        pcit_sm_sel_fifo_mreg_out ;
329
wire        pcit_sm_sel_conf_fifo_out ;
330
wire        pcit_sm_fetch_conf_out ;
331
wire        pcit_sm_load_to_pciw_fifo_out ;
332
wire        pcit_sm_load_to_conf_out ;
333 2 mihad
 
334 21 mihad
wire        pcit_sm_target_abort_set_out ; // to conf space
335 2 mihad
 
336 21 mihad
assign  pciu_pciif_trdy_out             =   pcit_sm_trdy_out ;
337
assign  pciu_pciif_stop_out             =   pcit_sm_stop_out ;
338
assign  pciu_pciif_devsel_out           =   pcit_sm_devsel_out ;
339
assign  pciu_pciif_trdy_en_out          =   pcit_sm_trdy_en_out ;
340
assign  pciu_pciif_stop_en_out          =   pcit_sm_stop_en_out ;
341
assign  pciu_pciif_devsel_en_out        =   pcit_sm_devsel_en_out ;
342
assign  pciu_ad_load_out                =   pcit_sm_ad_load_out ;
343
assign  pciu_ad_load_on_transfer_out    =   pcit_sm_ad_load_on_transfer_out ;
344
assign  pciu_pciif_ad_out               =   pcit_sm_ad_out ;
345
assign  pciu_pciif_ad_en_out            =   pcit_sm_ad_en_out ;
346
assign  pciu_pciif_tabort_set_out       =   pcit_sm_target_abort_set_out ;
347 2 mihad
 
348
wire        pcit_if_addr_claim_out ;
349
wire [31:0] pcit_if_data_out ;
350
wire        pcit_if_same_read_out ;
351
wire        pcit_if_norm_access_to_config_out ;
352
wire        pcit_if_read_completed_out ;
353
wire        pcit_if_read_processing_out ;
354
wire        pcit_if_target_abort_out ;
355
wire        pcit_if_disconect_wo_data_out ;
356 21 mihad
wire            pcit_if_disconect_w_data_out ;
357 2 mihad
wire        pcit_if_pciw_fifo_full_out ;
358
wire        pcit_if_pcir_fifo_data_err_out ;
359
wire        pcit_if_wbw_fifo_empty_out ;
360 21 mihad
wire            pcit_if_wbu_del_read_comp_pending_out ;
361 2 mihad
wire        pcit_if_req_out ;
362
wire        pcit_if_done_out ;
363
wire        pcit_if_in_progress_out ;
364
wire [31:0] pcit_if_addr_out ;
365
wire  [3:0] pcit_if_be_out ;
366
wire        pcit_if_we_out ;
367
wire  [3:0] pcit_if_bc_out ;
368 21 mihad
wire        pcit_if_burst_ok_out ;
369 2 mihad
wire        pcit_if_pcir_fifo_renable_out ;
370
wire        pcit_if_pcir_fifo_flush_out ;
371
wire        pcit_if_pciw_fifo_wenable_out ;
372
wire [31:0] pcit_if_pciw_fifo_addr_data_out ;
373
wire  [3:0] pcit_if_pciw_fifo_cbe_out ;
374
wire  [3:0] pcit_if_pciw_fifo_control_out ;
375
wire        pcit_if_conf_hit_out ;
376
wire [11:0] pcit_if_conf_addr_out ;
377
wire [31:0] pcit_if_conf_data_out ;
378
wire  [3:0] pcit_if_conf_be_out ;
379
wire        pcit_if_conf_we_out ;
380
wire        pcit_if_conf_re_out ;
381
 
382
// pci target state machine outputs
383
// pci interface signals
384 21 mihad
assign  pciu_conf_select_out    =   pcit_if_conf_hit_out ;
385
assign  pciu_conf_offset_out    =   pcit_if_conf_addr_out ;
386
assign  pciu_conf_renable_out   =   pcit_if_conf_re_out ;
387
assign  pciu_conf_wenable_out   =   pcit_if_conf_we_out ;
388
assign  pciu_conf_be_out        =   pcit_if_conf_be_out ;
389
assign  pciu_conf_data_out      =   pcit_if_conf_data_out ;
390 2 mihad
 
391
// wishbone master state machine outputs
392 21 mihad
wire        wbm_sm_wb_read_done ;
393 26 mihad
wire            wbm_sm_write_attempt ;
394 2 mihad
wire        wbm_sm_pcir_fifo_wenable_out ;
395
wire [31:0] wbm_sm_pcir_fifo_data_out ;
396
wire  [3:0] wbm_sm_pcir_fifo_be_out ;
397
wire  [3:0] wbm_sm_pcir_fifo_control_out ;
398
wire        wbm_sm_pciw_fifo_renable_out ;
399
wire        wbm_sm_pci_error_sig_out ;
400
wire  [3:0] wbm_sm_pci_error_bc ;
401
wire        wbm_sm_write_rty_cnt_exp_out ;
402 21 mihad
wire        wbm_sm_error_source_out ;
403 2 mihad
wire        wbm_sm_read_rty_cnt_exp_out ;
404
wire        wbm_sm_cyc_out ;
405
wire        wbm_sm_stb_out ;
406
wire        wbm_sm_we_out ;
407 116 tadejm
wire  [2:0] wbm_sm_cti_out ;
408
wire  [1:0] wbm_sm_bte_out ;
409 2 mihad
wire  [3:0] wbm_sm_sel_out ;
410
wire [31:0] wbm_sm_adr_out ;
411
wire [31:0] wbm_sm_mdata_out ;
412
 
413 21 mihad
assign  pciu_err_addr_out       =   wbm_sm_adr_out ;
414
assign  pciu_err_bc_out         =   wbm_sm_pci_error_bc ;
415
assign  pciu_err_data_out       =   wbm_sm_mdata_out ;
416
assign  pciu_err_be_out         =   ~wbm_sm_sel_out ;
417
assign  pciu_err_signal_out     =   wbm_sm_pci_error_sig_out ;
418
assign  pciu_err_source_out     =   wbm_sm_error_source_out ;
419
assign  pciu_err_rty_exp_out    =   wbm_sm_write_rty_cnt_exp_out ;
420 2 mihad
 
421 116 tadejm
assign  pciu_wbm_adr_o       =   wbm_sm_adr_out ;
422
assign  pciu_wbm_dat_o       =   wbm_sm_mdata_out ;
423
assign  pciu_wbm_cyc_o       =   wbm_sm_cyc_out ;
424
assign  pciu_wbm_stb_o       =   wbm_sm_stb_out ;
425
assign  pciu_wbm_we_o        =   wbm_sm_we_out ;
426
assign  pciu_wbm_cti_o       =   wbm_sm_cti_out ;
427
assign  pciu_wbm_bte_o       =   wbm_sm_bte_out ;
428
assign  pciu_wbm_sel_o       =   wbm_sm_sel_out ;
429 2 mihad
 
430
// pciw_pcir fifo outputs
431
 
432
// pciw_fifo_outputs:
433
wire [31:0] fifos_pciw_addr_data_out ;
434
wire [3:0]  fifos_pciw_cbe_out ;
435
wire [3:0]  fifos_pciw_control_out ;
436 108 tadejm
wire        fifos_pciw_three_left_out ;
437 21 mihad
wire        fifos_pciw_two_left_out ;
438 2 mihad
wire        fifos_pciw_almost_full_out ;
439
wire        fifos_pciw_full_out ;
440 21 mihad
wire        fifos_pciw_almost_empty_out ;
441 2 mihad
wire        fifos_pciw_empty_out ;
442
wire        fifos_pciw_transaction_ready_out ;
443
 
444 26 mihad
assign  pciu_pciw_fifo_empty_out = !wbm_sm_write_attempt;
445 2 mihad
 
446
// pcir_fifo_outputs
447
wire [31:0] fifos_pcir_data_out ;
448
wire [3:0]  fifos_pcir_be_out ;
449
wire [3:0]  fifos_pcir_control_out ;
450
wire        fifos_pcir_almost_empty_out ;
451 21 mihad
wire        fifos_pcir_empty_out ;
452 2 mihad
 
453
// delayed transaction logic outputs
454
wire [31:0] del_sync_addr_out ;
455
wire [3:0]  del_sync_be_out ;
456
wire        del_sync_we_out ;
457
wire        del_sync_comp_req_pending_out ;
458
wire        del_sync_comp_comp_pending_out ;
459
wire        del_sync_req_req_pending_out ;
460
wire        del_sync_req_comp_pending_out ;
461
wire [3:0]  del_sync_bc_out ;
462
wire        del_sync_status_out ;
463
wire        del_sync_comp_flush_out ;
464
wire        del_sync_burst_out ;
465
 
466 21 mihad
assign  pciu_pci_drcomp_pending_out = del_sync_comp_comp_pending_out ;
467 2 mihad
 
468 21 mihad
// WISHBONE master interface inputs
469
wire        wbm_sm_pci_tar_read_request             =   del_sync_comp_req_pending_out ;
470
wire [31:0] wbm_sm_pci_tar_address                  =   del_sync_addr_out ;
471
wire  [3:0] wbm_sm_pci_tar_cmd                      =   del_sync_bc_out ;
472
wire  [3:0] wbm_sm_pci_tar_be                       =   del_sync_be_out ;
473
wire        wbm_sm_pci_tar_burst_ok                 =   del_sync_burst_out ;
474
wire  [7:0] wbm_sm_pci_cache_line_size              =   pciu_cache_line_size_in ;
475
wire        wbm_sm_cache_lsize_not_zero_in          =   pciu_cache_lsize_not_zero_in ;
476
wire [31:0] wbm_sm_pciw_fifo_addr_data_in           =   fifos_pciw_addr_data_out ;
477
wire  [3:0] wbm_sm_pciw_fifo_cbe_in                 =   fifos_pciw_cbe_out ;
478
wire  [3:0] wbm_sm_pciw_fifo_control_in             =   fifos_pciw_control_out ;
479
wire        wbm_sm_pciw_fifo_almost_empty_in        =   fifos_pciw_almost_empty_out ;
480
wire        wbm_sm_pciw_fifo_empty_in               =   fifos_pciw_empty_out ;
481
wire        wbm_sm_pciw_fifo_transaction_ready_in   =   fifos_pciw_transaction_ready_out ;
482 116 tadejm
wire [31:0] wbm_sm_mdata_in                         =   pciu_wbm_dat_i ;
483
wire        wbm_sm_ack_in                           =   pciu_wbm_ack_i ;
484
wire        wbm_sm_rty_in                           =   pciu_wbm_rty_i ;
485
wire        wbm_sm_err_in                           =   pciu_wbm_err_i ;
486 2 mihad
 
487
// WISHBONE master interface instantiation
488 77 mihad
pci_wb_master wishbone_master
489 2 mihad
(
490 21 mihad
    .wb_clock_in                    (wb_clock_in),
491
    .reset_in                       (reset_in),
492
    .pci_tar_read_request           (wbm_sm_pci_tar_read_request),  //in
493
    .pci_tar_address                (wbm_sm_pci_tar_address),       //in
494
    .pci_tar_cmd                    (wbm_sm_pci_tar_cmd),           //in
495
    .pci_tar_be                     (wbm_sm_pci_tar_be),            //in
496
    .pci_tar_burst_ok                           (wbm_sm_pci_tar_burst_ok),              //in
497
    .pci_cache_line_size            (wbm_sm_pci_cache_line_size),   //in
498
    .cache_lsize_not_zero           (wbm_sm_cache_lsize_not_zero_in),
499
    .wb_read_done_out               (wbm_sm_wb_read_done),          //out
500 26 mihad
    .w_attempt                                          (wbm_sm_write_attempt),                 //out
501 21 mihad
    .pcir_fifo_wenable_out          (wbm_sm_pcir_fifo_wenable_out),
502
    .pcir_fifo_data_out             (wbm_sm_pcir_fifo_data_out),
503
    .pcir_fifo_be_out               (wbm_sm_pcir_fifo_be_out),
504
    .pcir_fifo_control_out          (wbm_sm_pcir_fifo_control_out),
505
    .pciw_fifo_renable_out          (wbm_sm_pciw_fifo_renable_out),
506
    .pciw_fifo_addr_data_in         (wbm_sm_pciw_fifo_addr_data_in),
507
    .pciw_fifo_cbe_in               (wbm_sm_pciw_fifo_cbe_in),
508
    .pciw_fifo_control_in           (wbm_sm_pciw_fifo_control_in),
509
    .pciw_fifo_almost_empty_in      (wbm_sm_pciw_fifo_almost_empty_in),
510
    .pciw_fifo_empty_in             (wbm_sm_pciw_fifo_empty_in),
511
    .pciw_fifo_transaction_ready_in (wbm_sm_pciw_fifo_transaction_ready_in),
512
    .pci_error_sig_out              (wbm_sm_pci_error_sig_out),
513
    .pci_error_bc                   (wbm_sm_pci_error_bc),
514
    .write_rty_cnt_exp_out          (wbm_sm_write_rty_cnt_exp_out),
515
    .error_source_out               (wbm_sm_error_source_out),
516
    .read_rty_cnt_exp_out           (wbm_sm_read_rty_cnt_exp_out),
517 116 tadejm
    .wb_cyc_o                      (wbm_sm_cyc_out),
518
    .wb_stb_o                      (wbm_sm_stb_out),
519
    .wb_we_o                       (wbm_sm_we_out),
520
    .wb_cti_o                      (wbm_sm_cti_out),
521
    .wb_bte_o                      (wbm_sm_bte_out),
522
    .wb_sel_o                      (wbm_sm_sel_out),
523
    .wb_adr_o                      (wbm_sm_adr_out),
524
    .wb_dat_i                      (wbm_sm_mdata_in),
525
    .wb_dat_o                      (wbm_sm_mdata_out),
526
    .wb_ack_i                      (wbm_sm_ack_in),
527
    .wb_rty_i                      (wbm_sm_rty_in),
528
    .wb_err_i                      (wbm_sm_err_in)
529 2 mihad
);
530
 
531
// pciw_pcir_fifos inputs
532
// PCIW_FIFO inputs
533 21 mihad
wire        fifos_pciw_wenable_in       =   pcit_if_pciw_fifo_wenable_out ;
534
wire [31:0] fifos_pciw_addr_data_in     =   pcit_if_pciw_fifo_addr_data_out ;
535
wire [3:0]  fifos_pciw_cbe_in           =   pcit_if_pciw_fifo_cbe_out ;
536
wire [3:0]  fifos_pciw_control_in       =   pcit_if_pciw_fifo_control_out ;
537
wire        fifos_pciw_renable_in       =   wbm_sm_pciw_fifo_renable_out ;
538 58 mihad
//wire        fifos_pciw_flush_in         =   1'b0 ;    // flush not used for write fifo
539 2 mihad
 
540
// PCIR_FIFO inputs
541 21 mihad
wire        fifos_pcir_wenable_in       =   wbm_sm_pcir_fifo_wenable_out ;
542
wire [31:0] fifos_pcir_data_in          =   wbm_sm_pcir_fifo_data_out ;
543
wire [3:0]  fifos_pcir_be_in            =   wbm_sm_pcir_fifo_be_out ;
544
wire [3:0]  fifos_pcir_control_in       =   wbm_sm_pcir_fifo_control_out ;
545
wire        fifos_pcir_renable_in       =   pcit_if_pcir_fifo_renable_out ;
546
wire        fifos_pcir_flush_in         =   pcit_if_pcir_fifo_flush_out ;
547 2 mihad
 
548
// PCIW_FIFO and PCIR_FIFO instantiation
549 77 mihad
pci_pciw_pcir_fifos fifos
550 2 mihad
(
551 21 mihad
    .wb_clock_in                (wb_clock_in),
552
    .pci_clock_in               (pci_clock_in),
553
    .reset_in                   (reset_in),
554
    .pciw_wenable_in            (fifos_pciw_wenable_in),      //for PCI Target !!!
555
    .pciw_addr_data_in          (fifos_pciw_addr_data_in),    //for PCI Target !!!
556
    .pciw_cbe_in                (fifos_pciw_cbe_in),          //for PCI Target !!!
557
    .pciw_control_in            (fifos_pciw_control_in),      //for PCI Target !!!
558
    .pciw_renable_in            (fifos_pciw_renable_in),
559
    .pciw_addr_data_out         (fifos_pciw_addr_data_out),
560
    .pciw_cbe_out               (fifos_pciw_cbe_out),
561
    .pciw_control_out           (fifos_pciw_control_out),
562 58 mihad
//    .pciw_flush_in              (fifos_pciw_flush_in),      // flush not used for write fifo
563 108 tadejm
    .pciw_three_left_out        (fifos_pciw_three_left_out),  //for PCI Target !!!
564 21 mihad
    .pciw_two_left_out          (fifos_pciw_two_left_out),    //for PCI Target !!!
565
    .pciw_almost_full_out       (fifos_pciw_almost_full_out), //for PCI Target !!!
566
    .pciw_full_out              (fifos_pciw_full_out),        //for PCI Target !!!
567
    .pciw_almost_empty_out      (fifos_pciw_almost_empty_out),
568
    .pciw_empty_out             (fifos_pciw_empty_out),
569
    .pciw_transaction_ready_out (fifos_pciw_transaction_ready_out),
570
    .pcir_wenable_in            (fifos_pcir_wenable_in),
571
    .pcir_data_in               (fifos_pcir_data_in),
572
    .pcir_be_in                 (fifos_pcir_be_in),
573
    .pcir_control_in            (fifos_pcir_control_in),
574
    .pcir_renable_in            (fifos_pcir_renable_in),      //for PCI Target !!!
575
    .pcir_data_out              (fifos_pcir_data_out),        //for PCI Target !!!
576
    .pcir_be_out                (fifos_pcir_be_out),          //for PCI Target !!!
577
    .pcir_control_out           (fifos_pcir_control_out),     //for PCI Target !!!
578
    .pcir_flush_in              (fifos_pcir_flush_in),        //for PCI Target !!!
579 26 mihad
    .pcir_full_out              (),
580 21 mihad
    .pcir_almost_empty_out      (fifos_pcir_almost_empty_out), //for PCI Target !!!
581
    .pcir_empty_out             (fifos_pcir_empty_out),        //for PCI Target !!!
582
    .pcir_transaction_ready_out ()
583 62 mihad
 
584
`ifdef PCI_BIST
585
    ,
586 67 tadejm
    .scanb_rst      (scanb_rst),
587
    .scanb_clk      (scanb_clk),
588
    .scanb_si       (scanb_si),
589
    .scanb_so       (scanb_so),
590 68 tadejm
    .scanb_en       (scanb_en)
591 62 mihad
`endif
592 2 mihad
) ;
593
 
594
// delayed transaction logic inputs
595 21 mihad
wire        del_sync_req_in             =   pcit_if_req_out ;
596
wire        del_sync_comp_in            =   wbm_sm_wb_read_done ;
597
wire        del_sync_done_in            =   pcit_if_done_out ;
598
wire        del_sync_in_progress_in     =   pcit_if_in_progress_out ;
599
wire [31:0] del_sync_addr_in            =   pcit_if_addr_out ;
600
wire  [3:0] del_sync_be_in              =   pcit_if_be_out ;
601
wire        del_sync_we_in              =   pcit_if_we_out ;
602
wire  [3:0] del_sync_bc_in              =   pcit_if_bc_out ;
603
wire        del_sync_status_in          =   1'b0 ;
604
wire        del_sync_burst_in           =   pcit_if_burst_ok_out ;
605
wire        del_sync_retry_expired_in   =   wbm_sm_read_rty_cnt_exp_out ;
606 2 mihad
 
607
// delayed transaction logic instantiation
608 77 mihad
pci_delayed_sync del_sync
609 2 mihad
(
610 21 mihad
    .reset_in               (reset_in),
611
    .req_clk_in             (pci_clock_in),
612
    .comp_clk_in            (wb_clock_in),
613
    .req_in                 (del_sync_req_in),
614
    .comp_in                (del_sync_comp_in),
615
    .done_in                (del_sync_done_in),
616
    .in_progress_in         (del_sync_in_progress_in),
617
    .comp_req_pending_out   (del_sync_comp_req_pending_out),
618
    .comp_comp_pending_out  (del_sync_comp_comp_pending_out),
619
    .req_req_pending_out    (del_sync_req_req_pending_out),
620
    .req_comp_pending_out   (del_sync_req_comp_pending_out),
621
    .addr_in                (del_sync_addr_in),
622
    .be_in                  (del_sync_be_in),
623
    .addr_out               (del_sync_addr_out),
624
    .be_out                 (del_sync_be_out),
625
    .we_in                  (del_sync_we_in),
626
    .we_out                 (del_sync_we_out),
627
    .bc_in                  (del_sync_bc_in),
628
    .bc_out                 (del_sync_bc_out),
629
    .status_in              (del_sync_status_in),
630
    .status_out             (del_sync_status_out),
631
    .comp_flush_out         (del_sync_comp_flush_out),
632
    .burst_in               (del_sync_burst_in),
633
    .burst_out              (del_sync_burst_out),
634
    .retry_expired_in       (del_sync_retry_expired_in)
635 2 mihad
);
636
 
637
// pci target interface inputs
638 21 mihad
wire [31:0] pcit_if_address_in                      =   pcit_sm_address_out ;
639
wire  [3:0] pcit_if_bc_in                           =   pcit_sm_bc_out ;
640
wire        pcit_if_bc0_in                          =   pcit_sm_bc0_out ;
641
wire [31:0] pcit_if_data_in                         =   pcit_sm_data_out ;
642
wire  [3:0] pcit_if_be_in                           =   pcit_sm_be_out ;
643 108 tadejm
wire  [3:0] pcit_if_next_be_in                      =   pcit_sm_next_be_out ;
644 21 mihad
wire        pcit_if_req_in                          =   pcit_sm_req_out ;
645
wire        pcit_if_rdy_in                          =   pcit_sm_rdy_out ;
646
wire        pcit_if_addr_phase_in                   =   pcit_sm_addr_phase_out ;
647
wire            pcit_if_bckp_devsel_in                                  =       pcit_sm_bckp_devsel_out ;
648
wire        pcit_if_bckp_trdy_in                    =   pcit_sm_bckp_trdy_out ;
649
wire            pcit_if_bckp_stop_in                                    =       pcit_sm_bckp_stop_out ;
650
wire        pcit_if_last_reg_in                     =   pcit_sm_last_reg_out ;
651
wire        pcit_if_frame_reg_in                    =   pcit_sm_frame_reg_out ;
652
wire        pcit_if_fetch_pcir_fifo_in              =   pcit_sm_fetch_pcir_fifo_out ;
653
wire        pcit_if_load_medium_reg_in              =   pcit_sm_load_medium_reg_out ;
654
wire        pcit_if_sel_fifo_mreg_in                =   pcit_sm_sel_fifo_mreg_out ;
655
wire        pcit_if_sel_conf_fifo_in                =   pcit_sm_sel_conf_fifo_out ;
656
wire        pcit_if_fetch_conf_in                   =   pcit_sm_fetch_conf_out ;
657
wire        pcit_if_load_to_pciw_fifo_in            =   pcit_sm_load_to_pciw_fifo_out ;
658
wire        pcit_if_load_to_conf_in                 =   pcit_sm_load_to_conf_out ;
659
wire        pcit_if_req_req_pending_in              =   del_sync_req_req_pending_out ;
660
wire        pcit_if_req_comp_pending_in             =   del_sync_req_comp_pending_out ;
661
wire        pcit_if_status_in                       =   del_sync_status_out ;
662
wire [31:0] pcit_if_strd_addr_in                    =   del_sync_addr_out ;
663
wire  [3:0] pcit_if_strd_bc_in                      =   del_sync_bc_out ;
664
wire        pcit_if_comp_flush_in                   =   del_sync_comp_flush_out ;
665
wire [31:0] pcit_if_pcir_fifo_data_in               =   fifos_pcir_data_out ;
666
wire  [3:0] pcit_if_pcir_fifo_be_in                 =   fifos_pcir_be_out ;
667
wire  [3:0] pcit_if_pcir_fifo_control_in            =   fifos_pcir_control_out ;
668
wire        pcit_if_pcir_fifo_almost_empty_in       =   fifos_pcir_almost_empty_out ;
669
wire        pcit_if_pcir_fifo_empty_in              =   fifos_pcir_empty_out ;
670 108 tadejm
wire        pcit_if_pciw_fifo_three_left_in         =   fifos_pciw_three_left_out ;
671 21 mihad
wire        pcit_if_pciw_fifo_two_left_in           =   fifos_pciw_two_left_out ;
672
wire        pcit_if_pciw_fifo_almost_full_in        =   fifos_pciw_almost_full_out ;
673
wire        pcit_if_pciw_fifo_full_in               =   fifos_pciw_full_out ;
674
wire        pcit_if_wbw_fifo_empty_in               =   pciu_wbw_fifo_empty_in ;
675
wire            pcit_if_wbu_del_read_comp_pending_in    =       pciu_wbu_del_read_comp_pending_in ;
676
wire [31:0] pcit_if_conf_data_in                    =   pciu_conf_data_in ;
677
wire        pcit_if_mem_enable_in                   =   pciu_mem_enable_in ;
678
wire        pcit_if_io_enable_in                    =   pciu_io_enable_in ;
679
wire        pcit_if_mem_io_addr_space0_in           =   pciu_map_in[0] ;
680
wire        pcit_if_mem_io_addr_space1_in           =   pciu_map_in[1] ;
681
wire        pcit_if_mem_io_addr_space2_in           =   pciu_map_in[2] ;
682
wire        pcit_if_mem_io_addr_space3_in           =   pciu_map_in[3] ;
683
wire        pcit_if_mem_io_addr_space4_in           =   pciu_map_in[4] ;
684
wire        pcit_if_mem_io_addr_space5_in           =   pciu_map_in[5] ;
685
wire        pcit_if_pre_fetch_en0_in                =   pciu_pref_en_in[0] ;
686
wire        pcit_if_pre_fetch_en1_in                =   pciu_pref_en_in[1] ;
687
wire        pcit_if_pre_fetch_en2_in                =   pciu_pref_en_in[2] ;
688
wire        pcit_if_pre_fetch_en3_in                =   pciu_pref_en_in[3] ;
689
wire        pcit_if_pre_fetch_en4_in                =   pciu_pref_en_in[4] ;
690
wire        pcit_if_pre_fetch_en5_in                =   pciu_pref_en_in[5] ;
691
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr0_in =   pciu_bar0_in ;
692
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr1_in =   pciu_bar1_in ;
693
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr2_in =   pciu_bar2_in ;
694
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr3_in =   pciu_bar3_in ;
695
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr4_in =   pciu_bar4_in ;
696
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_base_addr5_in =   pciu_bar5_in ;
697
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask0_in =   pciu_am0_in ;
698
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask1_in =   pciu_am1_in ;
699
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask2_in =   pciu_am2_in ;
700
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask3_in =   pciu_am3_in ;
701
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask4_in =   pciu_am4_in ;
702
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_addr_mask5_in =   pciu_am5_in ;
703
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr0_in =   pciu_ta0_in ;
704
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr1_in =   pciu_ta1_in ;
705
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr2_in =   pciu_ta2_in ;
706
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr3_in =   pciu_ta3_in ;
707
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr4_in =   pciu_ta4_in ;
708
wire [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pcit_if_pci_tran_addr5_in =   pciu_ta5_in ;
709
wire        pcit_if_addr_tran_en0_in                =   pciu_at_en_in[0] ;
710
wire        pcit_if_addr_tran_en1_in                =   pciu_at_en_in[1] ;
711
wire        pcit_if_addr_tran_en2_in                =   pciu_at_en_in[2] ;
712
wire        pcit_if_addr_tran_en3_in                =   pciu_at_en_in[3] ;
713
wire        pcit_if_addr_tran_en4_in                =   pciu_at_en_in[4] ;
714
wire        pcit_if_addr_tran_en5_in                =   pciu_at_en_in[5] ;
715 2 mihad
 
716 77 mihad
pci_target32_interface pci_target_if
717 2 mihad
(
718 21 mihad
    .clk_in                         (pci_clock_in),
719
    .reset_in                       (reset_in),
720
    .address_in                     (pcit_if_address_in),
721
    .addr_claim_out                 (pcit_if_addr_claim_out),
722
    .bc_in                          (pcit_if_bc_in),
723
    .bc0_in                         (pcit_if_bc0_in),
724
    .data_in                        (pcit_if_data_in),
725
    .data_out                       (pcit_if_data_out),
726
    .be_in                          (pcit_if_be_in),
727 108 tadejm
    .next_be_in                     (pcit_if_next_be_in),
728 21 mihad
    .req_in                         (pcit_if_req_in),
729
    .rdy_in                         (pcit_if_rdy_in),
730
    .addr_phase_in                  (pcit_if_addr_phase_in),
731
    .bckp_devsel_in                 (pcit_if_bckp_devsel_in),
732
    .bckp_trdy_in                   (pcit_if_bckp_trdy_in),
733
    .bckp_stop_in                   (pcit_if_bckp_stop_in),
734
    .last_reg_in                    (pcit_if_last_reg_in),
735
    .frame_reg_in                   (pcit_if_frame_reg_in),
736
    .fetch_pcir_fifo_in             (pcit_if_fetch_pcir_fifo_in),
737
    .load_medium_reg_in             (pcit_if_load_medium_reg_in),
738
    .sel_fifo_mreg_in               (pcit_if_sel_fifo_mreg_in),
739
    .sel_conf_fifo_in               (pcit_if_sel_conf_fifo_in),
740
    .fetch_conf_in                  (pcit_if_fetch_conf_in),
741
    .load_to_pciw_fifo_in           (pcit_if_load_to_pciw_fifo_in),
742
    .load_to_conf_in                (pcit_if_load_to_conf_in),
743
    .same_read_out                  (pcit_if_same_read_out),
744
    .norm_access_to_config_out      (pcit_if_norm_access_to_config_out),
745
    .read_completed_out             (pcit_if_read_completed_out),
746
    .read_processing_out            (pcit_if_read_processing_out),
747
    .target_abort_out               (pcit_if_target_abort_out),
748
    .disconect_wo_data_out          (pcit_if_disconect_wo_data_out),
749
    .disconect_w_data_out                       (pcit_if_disconect_w_data_out),
750
    .pciw_fifo_full_out             (pcit_if_pciw_fifo_full_out),
751
    .pcir_fifo_data_err_out         (pcit_if_pcir_fifo_data_err_out),
752
    .wbw_fifo_empty_out             (pcit_if_wbw_fifo_empty_out),
753
    .wbu_del_read_comp_pending_out      (pcit_if_wbu_del_read_comp_pending_out),
754
    .req_out                        (pcit_if_req_out),
755
    .done_out                       (pcit_if_done_out),
756
    .in_progress_out                (pcit_if_in_progress_out),
757
    .req_req_pending_in             (pcit_if_req_req_pending_in),
758
    .req_comp_pending_in            (pcit_if_req_comp_pending_in),
759
    .addr_out                       (pcit_if_addr_out),
760
    .be_out                         (pcit_if_be_out),
761
    .we_out                         (pcit_if_we_out),
762
    .bc_out                         (pcit_if_bc_out),
763
    .burst_ok_out                   (pcit_if_burst_ok_out),
764
    .strd_addr_in                   (pcit_if_strd_addr_in),
765
    .strd_bc_in                     (pcit_if_strd_bc_in),
766
    .status_in                      (pcit_if_status_in),
767
    .comp_flush_in                  (pcit_if_comp_flush_in),
768
    .pcir_fifo_renable_out          (pcit_if_pcir_fifo_renable_out),
769
    .pcir_fifo_data_in              (pcit_if_pcir_fifo_data_in),
770
    .pcir_fifo_be_in                (pcit_if_pcir_fifo_be_in),
771
    .pcir_fifo_control_in           (pcit_if_pcir_fifo_control_in),
772
    .pcir_fifo_flush_out            (pcit_if_pcir_fifo_flush_out),
773
    .pcir_fifo_almost_empty_in      (pcit_if_pcir_fifo_almost_empty_in),
774
    .pcir_fifo_empty_in             (pcit_if_pcir_fifo_empty_in),
775
    .pciw_fifo_wenable_out          (pcit_if_pciw_fifo_wenable_out),
776
    .pciw_fifo_addr_data_out        (pcit_if_pciw_fifo_addr_data_out),
777
    .pciw_fifo_cbe_out              (pcit_if_pciw_fifo_cbe_out),
778
    .pciw_fifo_control_out          (pcit_if_pciw_fifo_control_out),
779 108 tadejm
    .pciw_fifo_three_left_in        (pcit_if_pciw_fifo_three_left_in),
780 21 mihad
    .pciw_fifo_two_left_in          (pcit_if_pciw_fifo_two_left_in),
781
    .pciw_fifo_almost_full_in       (pcit_if_pciw_fifo_almost_full_in),
782
    .pciw_fifo_full_in              (pcit_if_pciw_fifo_full_in),
783
    .wbw_fifo_empty_in              (pcit_if_wbw_fifo_empty_in),
784
    .wbu_del_read_comp_pending_in       (pcit_if_wbu_del_read_comp_pending_in),
785
    .conf_hit_out                   (pcit_if_conf_hit_out),
786
    .conf_addr_out                  (pcit_if_conf_addr_out),
787
    .conf_data_out                  (pcit_if_conf_data_out),
788
    .conf_data_in                   (pcit_if_conf_data_in),
789
    .conf_be_out                    (pcit_if_conf_be_out),
790
    .conf_we_out                    (pcit_if_conf_we_out),
791
    .conf_re_out                    (pcit_if_conf_re_out),
792
    .mem_enable_in                  (pcit_if_mem_enable_in),
793
    .io_enable_in                   (pcit_if_io_enable_in),
794
    .mem_io_addr_space0_in          (pcit_if_mem_io_addr_space0_in),
795
    .mem_io_addr_space1_in          (pcit_if_mem_io_addr_space1_in),
796
    .mem_io_addr_space2_in          (pcit_if_mem_io_addr_space2_in),
797
    .mem_io_addr_space3_in          (pcit_if_mem_io_addr_space3_in),
798
    .mem_io_addr_space4_in          (pcit_if_mem_io_addr_space4_in),
799
    .mem_io_addr_space5_in          (pcit_if_mem_io_addr_space5_in),
800
    .pre_fetch_en0_in               (pcit_if_pre_fetch_en0_in),
801
    .pre_fetch_en1_in               (pcit_if_pre_fetch_en1_in),
802
    .pre_fetch_en2_in               (pcit_if_pre_fetch_en2_in),
803
    .pre_fetch_en3_in               (pcit_if_pre_fetch_en3_in),
804
    .pre_fetch_en4_in               (pcit_if_pre_fetch_en4_in),
805
    .pre_fetch_en5_in               (pcit_if_pre_fetch_en5_in),
806
    .pci_base_addr0_in              (pcit_if_pci_base_addr0_in),
807
    .pci_base_addr1_in              (pcit_if_pci_base_addr1_in),
808
    .pci_base_addr2_in              (pcit_if_pci_base_addr2_in),
809
    .pci_base_addr3_in              (pcit_if_pci_base_addr3_in),
810
    .pci_base_addr4_in              (pcit_if_pci_base_addr4_in),
811
    .pci_base_addr5_in              (pcit_if_pci_base_addr5_in),
812
    .pci_addr_mask0_in              (pcit_if_pci_addr_mask0_in),
813
    .pci_addr_mask1_in              (pcit_if_pci_addr_mask1_in),
814
    .pci_addr_mask2_in              (pcit_if_pci_addr_mask2_in),
815
    .pci_addr_mask3_in              (pcit_if_pci_addr_mask3_in),
816
    .pci_addr_mask4_in              (pcit_if_pci_addr_mask4_in),
817
    .pci_addr_mask5_in              (pcit_if_pci_addr_mask5_in),
818
    .pci_tran_addr0_in              (pcit_if_pci_tran_addr0_in),
819
    .pci_tran_addr1_in              (pcit_if_pci_tran_addr1_in),
820
    .pci_tran_addr2_in              (pcit_if_pci_tran_addr2_in),
821
    .pci_tran_addr3_in              (pcit_if_pci_tran_addr3_in),
822
    .pci_tran_addr4_in              (pcit_if_pci_tran_addr4_in),
823
    .pci_tran_addr5_in              (pcit_if_pci_tran_addr5_in),
824
    .addr_tran_en0_in               (pcit_if_addr_tran_en0_in),
825
    .addr_tran_en1_in               (pcit_if_addr_tran_en1_in),
826
    .addr_tran_en2_in               (pcit_if_addr_tran_en2_in),
827
    .addr_tran_en3_in               (pcit_if_addr_tran_en3_in),
828
    .addr_tran_en4_in               (pcit_if_addr_tran_en4_in),
829
    .addr_tran_en5_in               (pcit_if_addr_tran_en5_in)
830 2 mihad
) ;
831
 
832
// pci target state machine inputs
833 21 mihad
wire        pcit_sm_frame_in                    =   pciu_pciif_frame_in ;
834
wire        pcit_sm_irdy_in                     =   pciu_pciif_irdy_in ;
835
wire        pcit_sm_idsel_in                    =   pciu_pciif_idsel_in ;
836
wire        pcit_sm_frame_reg_in                =   pciu_pciif_frame_reg_in ;
837
wire        pcit_sm_irdy_reg_in                 =   pciu_pciif_irdy_reg_in ;
838
wire        pcit_sm_idsel_reg_in                =   pciu_pciif_idsel_reg_in ;
839
wire [31:0] pcit_sm_ad_reg_in                   =   pciu_pciif_ad_reg_in ;
840
wire  [3:0] pcit_sm_cbe_reg_in                  =   pciu_pciif_cbe_reg_in ;
841 108 tadejm
wire  [3:0] pcit_sm_cbe_in                      =   pciu_pciif_cbe_in ;
842 21 mihad
wire        pcit_sm_bckp_trdy_en_in             =   pciu_pciif_bckp_trdy_en_in ;
843
wire        pcit_sm_bckp_devsel_in              =   pciu_pciif_bckp_devsel_in ;
844
wire        pcit_sm_bckp_trdy_in                =   pciu_pciif_bckp_trdy_in ;
845
wire        pcit_sm_bckp_stop_in                =   pciu_pciif_bckp_stop_in ;
846
wire        pcit_sm_addr_claim_in               =   pcit_if_addr_claim_out ;
847
wire [31:0] pcit_sm_data_in                     =   pcit_if_data_out ;
848
wire        pcit_sm_same_read_in                =   pcit_if_same_read_out ;
849
wire        pcit_sm_norm_access_to_config_in    =   pcit_if_norm_access_to_config_out ;
850
wire        pcit_sm_read_completed_in           =   pcit_if_read_completed_out ;
851
wire        pcit_sm_read_processing_in          =   pcit_if_read_processing_out ;
852
wire        pcit_sm_target_abort_in             =   pcit_if_target_abort_out ;
853
wire        pcit_sm_disconect_wo_data_in        =   pcit_if_disconect_wo_data_out ;
854
wire            pcit_sm_disconect_w_data_in                     =       pcit_if_disconect_w_data_out ;
855
wire        pcit_sm_pciw_fifo_full_in           =   pcit_if_pciw_fifo_full_out ;
856
wire        pcit_sm_pcir_fifo_data_err_in       =   pcit_if_pcir_fifo_data_err_out ;
857
wire        pcit_sm_wbw_fifo_empty_in           =   pcit_if_wbw_fifo_empty_out ;
858
wire            pcit_sm_wbu_del_read_comp_pending_in    =       pcit_if_wbu_del_read_comp_pending_out ;
859
wire        pcit_sm_wbu_frame_en_in             =   pciu_wbu_frame_en_in ;
860
wire        pcit_sm_trdy_reg_in                 =   pciu_pciif_trdy_reg_in ;
861
wire        pcit_sm_stop_reg_in                 =   pciu_pciif_stop_reg_in ;
862 2 mihad
 
863 21 mihad
 
864 77 mihad
pci_target32_sm pci_target_sm
865 2 mihad
(
866 21 mihad
    .clk_in                             (pci_clock_in),
867
    .reset_in                           (reset_in),
868
    .pci_frame_in                       (pcit_sm_frame_in),
869
    .pci_irdy_in                        (pcit_sm_irdy_in),
870
    .pci_idsel_in                       (pcit_sm_idsel_in),
871
    .pci_frame_reg_in                   (pcit_sm_frame_reg_in),
872
    .pci_irdy_reg_in                    (pcit_sm_irdy_reg_in),
873
    .pci_idsel_reg_in                   (pcit_sm_idsel_reg_in),
874
    .pci_trdy_out                       (pcit_sm_trdy_out),
875
    .pci_stop_out                       (pcit_sm_stop_out),
876
    .pci_devsel_out                     (pcit_sm_devsel_out),
877
    .pci_trdy_en_out                    (pcit_sm_trdy_en_out),
878
    .pci_stop_en_out                    (pcit_sm_stop_en_out),
879
    .pci_devsel_en_out                  (pcit_sm_devsel_en_out),
880
    .ad_load_out                        (pcit_sm_ad_load_out),
881
    .ad_load_on_transfer_out            (pcit_sm_ad_load_on_transfer_out),
882
    .pci_ad_reg_in                      (pcit_sm_ad_reg_in),
883
    .pci_ad_out                         (pcit_sm_ad_out),
884
    .pci_ad_en_out                      (pcit_sm_ad_en_out),
885
    .pci_cbe_reg_in                     (pcit_sm_cbe_reg_in),
886 108 tadejm
    .pci_cbe_in                         (pcit_sm_cbe_in),
887 21 mihad
    .bckp_trdy_en_in                    (pcit_sm_bckp_trdy_en_in),
888
    .bckp_devsel_in                     (pcit_sm_bckp_devsel_in),
889
    .bckp_trdy_in                       (pcit_sm_bckp_trdy_in),
890
    .bckp_stop_in                       (pcit_sm_bckp_stop_in),
891
    .pci_trdy_reg_in                    (pcit_sm_trdy_reg_in),
892
    .pci_stop_reg_in                    (pcit_sm_stop_reg_in),
893
    .address_out                        (pcit_sm_address_out),
894
    .addr_claim_in                      (pcit_sm_addr_claim_in),
895
    .bc_out                             (pcit_sm_bc_out),
896
    .bc0_out                            (pcit_sm_bc0_out),
897
    .data_out                           (pcit_sm_data_out),
898
    .data_in                            (pcit_sm_data_in),
899
    .be_out                             (pcit_sm_be_out),
900 108 tadejm
    .next_be_out                        (pcit_sm_next_be_out),
901 21 mihad
    .req_out                            (pcit_sm_req_out),
902
    .rdy_out                            (pcit_sm_rdy_out),
903
    .addr_phase_out                     (pcit_sm_addr_phase_out),
904
    .bckp_devsel_out                                    (pcit_sm_bckp_devsel_out),
905
    .bckp_trdy_out                      (pcit_sm_bckp_trdy_out),
906
    .bckp_stop_out                                              (pcit_sm_bckp_stop_out),
907
    .last_reg_out                       (pcit_sm_last_reg_out),
908
    .frame_reg_out                      (pcit_sm_frame_reg_out),
909
    .fetch_pcir_fifo_out                (pcit_sm_fetch_pcir_fifo_out),
910
    .load_medium_reg_out                (pcit_sm_load_medium_reg_out),
911
    .sel_fifo_mreg_out                  (pcit_sm_sel_fifo_mreg_out),
912
    .sel_conf_fifo_out                  (pcit_sm_sel_conf_fifo_out),
913
    .fetch_conf_out                     (pcit_sm_fetch_conf_out),
914
    .load_to_pciw_fifo_out              (pcit_sm_load_to_pciw_fifo_out),
915
    .load_to_conf_out                   (pcit_sm_load_to_conf_out),
916
    .same_read_in                       (pcit_sm_same_read_in),
917
    .norm_access_to_config_in           (pcit_sm_norm_access_to_config_in),
918
    .read_completed_in                  (pcit_sm_read_completed_in),
919
    .read_processing_in                 (pcit_sm_read_processing_in),
920
    .target_abort_in                    (pcit_sm_target_abort_in),
921
    .disconect_wo_data_in               (pcit_sm_disconect_wo_data_in),
922
    .disconect_w_data_in                                (pcit_sm_disconect_w_data_in),
923
    .target_abort_set_out               (pcit_sm_target_abort_set_out),
924
    .pciw_fifo_full_in                  (pcit_sm_pciw_fifo_full_in),
925
    .pcir_fifo_data_err_in              (pcit_sm_pcir_fifo_data_err_in),
926
    .wbw_fifo_empty_in                  (pcit_sm_wbw_fifo_empty_in),
927
    .wbu_del_read_comp_pending_in               (pcit_sm_wbu_del_read_comp_pending_in),
928
    .wbu_frame_en_in                    (pcit_sm_wbu_frame_en_in)
929 2 mihad
) ;
930
 
931 33 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.