OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [adm2_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : adm2_pkg
4
-- Author      : Dmitry Smekhov
5
-- Company     : Instrumental System
6
--
7
-- Version     : 2.1   
8
--
9
---------------------------------------------------------------------------------------------------
10
--
11
-- Description :  Определение типов данных и общих модулей
12
--                                      
13
---------------------------------------------------------------------------------------------------
14
--                                      
15
--  Version 2.1  18.07.2007
16
--                               Добавлено описание типа std_logic_array16x6
17
--
18
---------------------------------------------------------------------------------------------------
19
--                                      
20
--  Version 2.0  15.12.2006
21
--                               Добавлены описания типов std_logic_array16x ...
22
--
23
---------------------------------------------------------------------------------------------------
24
--                                      
25
--  Version 1.4  17.06.2005
26
--                               Удалены описания компонентов
27
--
28
---------------------------------------------------------------------------------------------------
29
--
30
--      Version 1.3  31.10.2003
31
--                               Добавлены описания модулей cl_fifo256x32_v2
32
--
33
---------------------------------------------------------------------------------------------------
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
 
38
package adm2_pkg is
39
 
40
type bl_cmd is record
41
        data_we                 : std_logic;    -- 1 - запись в регистр DATA
42
        cmd_data_we             : std_logic;    -- 1 - запись в регистр CMD_DATA
43
        status_cs               : std_logic;    -- 0 - чтение из регистра STATUS
44
        data_cs                 : std_logic;    -- 0 - чтение из регистра DATA
45
        cmd_data_cs             : std_logic;    -- 0 - чтение из регистра CMD_DATA
46
        cmd_adr_we              : std_logic;    -- 1 - запись в регистр косвенного адреса
47
        adr                             : std_logic_vector( 9 downto 0 ); -- косвенный адрес
48
        data_oe                 : std_logic;    -- 0 - разрешение выхода регистра DATA
49
 
50
end record;
51
 
52
type bl_drq is record
53
        en                              : std_logic;    -- 1 - разрешение запроса DMA
54
        req                             : std_logic;    -- 1 - запрос на выполнение цикла DMA
55
        ack                             : std_logic;    -- 1 - выполнение цикла DMA
56
end record;
57
 
58
type bl_trd_rom is array( 31 downto 0 ) of std_logic_vector( 15 downto 0 );
59
 
60
type bl_fifo_flag is record
61
        ef              : std_logic;    -- 0 - FIFO пустое
62
        pae             : std_logic;    -- 0 - FIFO почти пустое
63
        hf              : std_logic;    -- 0 - FIFO заполнено наполовину 
64
        paf             : std_logic;    -- 0 - FIFO почти полное
65
        ff              : std_logic;    -- 0 - FIFO полное
66
        ovr             : std_logic;    -- 1 - запись в полное FIFO
67
        und             : std_logic;    -- 1 - чтение из пустого FIFO
68
end record;
69
 
70
type std_logic_array_16x64 is array (15 downto 0) of std_logic_vector(63 downto 0);
71
type std_logic_array_16x16 is array (15 downto 0) of std_logic_vector(15 downto 0);
72
type std_logic_array_16x6  is array (15 downto 0) of std_logic_vector(6 downto 0);
73
type std_logic_array_16xbl_cmd is array (15 downto 0) of bl_cmd;
74
type std_logic_array_16xbl_drq is array (15 downto 0) of bl_drq;
75
type std_logic_array_16xbl_irq is array (15 downto 0) of std_logic;
76
type std_logic_array_16xbl_reset_fifo is array (15 downto 0) of std_logic;
77
type std_logic_array_16xbl_trd_rom is array (15 downto 0) of bl_trd_rom;
78
type std_logic_array_16x7 is array (15 downto 0) of std_logic_vector(6 downto 0);
79
type std_logic_array_16xbl_fifo_flag is array (15 downto 0) of bl_fifo_flag;
80
 
81
component ctrl_buft16 is
82
        port (
83
        t: in std_logic;
84
        i: in std_logic_vector(15 downto 0);
85
        o: out std_logic_vector(15 downto 0));
86
end component;
87
 
88
component ctrl_buft32 is
89
        port (
90
        t: in std_logic;
91
        i: in std_logic_vector(31 downto 0);
92
        o: out std_logic_vector(31 downto 0));
93
end component;
94
 
95
component ctrl_buft64 is
96
        port (
97
        t: in std_logic;
98
        i: in std_logic_vector(63 downto 0);
99
        o: out std_logic_vector(63 downto 0));
100
end component;
101
 
102
 
103
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.