OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [cl_ac701/] [rtl/] [ctrl_adsp_v2_decode_ram_cs.vhd] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 dsmv
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : ctrl_adsp_v2_decode_ram_cs
4
-- Author      : Dmitry Smekhov, Ilya Ivanov
5
-- Company     : Instrumental System
6
--
7
-- Version     : 1.1
8
---------------------------------------------------------------------------------------------------
9
--
10
-- Description :  Модуль декодирования сигнала чтения ОЗУ или ПЗУ  для Virtex2
11
--
12
---------------------------------------------------------------------------------------------------
13
--
14
--      Version 1.1 17.06.2005
15
--                              Удалены атрибуты RLOC и компоненты FMAP
16
--
17
---------------------------------------------------------------------------------------------------
18
 
19
 
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.all;
22
use ieee.std_logic_arith.all;
23
 
24
-- synopsys translate_off
25
library ieee;
26
use ieee.vital_timing.all;
27
-- synopsys translate_on
28
 
29
library unisim;
30
use unisim.VCOMPONENTS.all;
31
 
32
 
33
entity ctrl_adsp_v2_decode_ram_cs is
34
        generic (
35
                reg                     : in integer                    -- номер регистра
36
                                                                                        -- 0 - RAM
37
                                                                                        -- 1 - ROM
38
        );
39
        port (
40
                reset           : in std_logic;                 -- 0 - сброс
41
                clk                     : in std_logic;                 -- тактовая частота
42
                cmd_adr         : in std_logic_vector( 9 downto 8 );    -- косвенный адрес
43
                adr                     : in std_logic_vector( 4 downto 0 );     -- шина адреса
44
                rd                      : in std_logic;                                                 -- 0 - чтение данных
45
                data_cs         : out std_logic                                                 -- 0 - чтение данных
46
        );
47
end ctrl_adsp_v2_decode_ram_cs;
48
 
49
 
50
architecture ctrl_adsp_v2_decode_ram_cs of ctrl_adsp_v2_decode_ram_cs is
51
 
52
signal cs1      : std_logic;    -- 0 - чтение данных
53
 
54
--attribute rloc        : string;
55
--attribute rloc        of xcs1 : label is "X0Y0";
56
--attribute rloc        of xd   : label is "X0Y0";
57
 
58
begin
59
 
60
 
61
 
62
cs1 <='0' when   adr( 1 downto 0 )="11" and
63
                                cmd_adr( 9 downto 8 )=conv_std_logic_vector( reg, 2 )
64
                                else '1'  after 1 ns;
65
 
66
--xcs1: fmap port map( o=>cs1, i1=>cmd_adr(8), i2=>cmd_adr(9), i3=>adr(0), i4=>adr(1) );
67
xd:       fd   port map( q=>data_cs, c=>clk, d=>cs1 );
68
 
69
 
70
end ctrl_adsp_v2_decode_ram_cs;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.