OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [core_s3_empty/] [ctrl_buft32.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : ctrl_buft32.vhd
4
-- Author      : Dmitry Smekhov
5
-- Company     : Instrumental System
6
-- E-mail          : dsmv@insys.ru
7
--
8
-- Version  1.0
9
--
10
---------------------------------------------------------------------------------------------------
11
--
12
-- Description :  Заглушка для ПЛИС Spartan-3
13
--                                Используется на выходе данных тетрады,
14
--                                при этом выход данных тетрады нельзя подключать 
15
--                                на общую шину.
16
--
17
---------------------------------------------------------------------------------------------------
18
 
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
 
23
 
24
 
25
entity ctrl_buft32 is
26
        port (
27
                t       : in std_logic;
28
                i       : in std_logic_vector( 31 downto 0 );
29
                o       : out std_logic_vector( 31 downto 0 )
30
        );
31
 
32
end ctrl_buft32;
33
 
34
 
35
architecture ctrl_buft32 of ctrl_buft32 is
36
begin
37
 
38
        o <= i;
39
 
40
end ctrl_buft32;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.