OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [coregen/] [ctrl_multiplier_v1_0.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file ctrl_multiplier_v1_0.vhd when simulating
30
-- the core, ctrl_multiplier_v1_0. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synopsys translate_off
41
Library XilinxCoreLib;
42
-- synopsys translate_on
43
ENTITY ctrl_multiplier_v1_0 IS
44
        port (
45
        clk: IN std_logic;
46
        a: IN std_logic_VECTOR(15 downto 0);
47
        b: IN std_logic_VECTOR(10 downto 0);
48
        p: OUT std_logic_VECTOR(26 downto 0));
49
END ctrl_multiplier_v1_0;
50
 
51
ARCHITECTURE ctrl_multiplier_v1_0_a OF ctrl_multiplier_v1_0 IS
52
-- synopsys translate_off
53
component wrapped_ctrl_multiplier_v1_0
54
        port (
55
        clk: IN std_logic;
56
        a: IN std_logic_VECTOR(15 downto 0);
57
        b: IN std_logic_VECTOR(10 downto 0);
58
        p: OUT std_logic_VECTOR(26 downto 0));
59
end component;
60
 
61
-- Configuration specification 
62
        for all : wrapped_ctrl_multiplier_v1_0 use entity XilinxCoreLib.mult_gen_v9_0(behavioral)
63
                generic map(
64
                        c_a_width => 16,
65
                        c_b_type => 1,
66
                        c_ce_overrides_sclr => 0,
67
                        c_opt_goal => 1,
68
                        c_has_sclr => 0,
69
                        c_round_pt => 0,
70
                        c_out_high => 26,
71
                        c_mult_type => 0,
72
                        c_ccm_imp => 0,
73
                        c_has_load_done => 0,
74
                        c_pipe_stages => 1,
75
                        c_has_ce => 0,
76
                        c_has_zero_detect => 0,
77
                        c_round_output => 0,
78
                        c_mem_init_prefix => "mgv9",
79
                        c_xdevicefamily => "virtex4",
80
                        c_a_type => 1,
81
                        c_out_low => 0,
82
                        c_b_width => 11,
83
                        c_b_value => "10000001");
84
-- synopsys translate_on
85
BEGIN
86
-- synopsys translate_off
87
U0 : wrapped_ctrl_multiplier_v1_0
88
                port map (
89
                        clk => clk,
90
                        a => a,
91
                        b => b,
92
                        p => p);
93
-- synopsys translate_on
94
 
95
END ctrl_multiplier_v1_0_a;
96
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.