OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [coregen/] [ctrl_mux16x64.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2003 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file ctrl_mux16x64.vhd when simulating
30
-- the core, ctrl_mux16x64. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Guide".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
-- synopsys translate_off
39
LIBRARY ieee;
40
USE ieee.std_logic_1164.ALL;
41
 
42
Library XilinxCoreLib;
43
ENTITY ctrl_mux16x64 IS
44
        port (
45
        MA: IN std_logic_VECTOR(63 downto 0);
46
        MB: IN std_logic_VECTOR(63 downto 0);
47
        MC: IN std_logic_VECTOR(63 downto 0);
48
        MD: IN std_logic_VECTOR(63 downto 0);
49
        ME: IN std_logic_VECTOR(63 downto 0);
50
        MF: IN std_logic_VECTOR(63 downto 0);
51
        MG: IN std_logic_VECTOR(63 downto 0);
52
        MH: IN std_logic_VECTOR(63 downto 0);
53
        MAA: IN std_logic_VECTOR(63 downto 0);
54
        MAB: IN std_logic_VECTOR(63 downto 0);
55
        MAC: IN std_logic_VECTOR(63 downto 0);
56
        MAD: IN std_logic_VECTOR(63 downto 0);
57
        MAE: IN std_logic_VECTOR(63 downto 0);
58
        MAF: IN std_logic_VECTOR(63 downto 0);
59
        MAG: IN std_logic_VECTOR(63 downto 0);
60
        MAH: IN std_logic_VECTOR(63 downto 0);
61
        S: IN std_logic_VECTOR(3 downto 0);
62
        O: OUT std_logic_VECTOR(63 downto 0));
63
END ctrl_mux16x64;
64
 
65
ARCHITECTURE ctrl_mux16x64_a OF ctrl_mux16x64 IS
66
 
67
component wrapped_ctrl_mux16x64
68
        port (
69
        MA: IN std_logic_VECTOR(63 downto 0);
70
        MB: IN std_logic_VECTOR(63 downto 0);
71
        MC: IN std_logic_VECTOR(63 downto 0);
72
        MD: IN std_logic_VECTOR(63 downto 0);
73
        ME: IN std_logic_VECTOR(63 downto 0);
74
        MF: IN std_logic_VECTOR(63 downto 0);
75
        MG: IN std_logic_VECTOR(63 downto 0);
76
        MH: IN std_logic_VECTOR(63 downto 0);
77
        MAA: IN std_logic_VECTOR(63 downto 0);
78
        MAB: IN std_logic_VECTOR(63 downto 0);
79
        MAC: IN std_logic_VECTOR(63 downto 0);
80
        MAD: IN std_logic_VECTOR(63 downto 0);
81
        MAE: IN std_logic_VECTOR(63 downto 0);
82
        MAF: IN std_logic_VECTOR(63 downto 0);
83
        MAG: IN std_logic_VECTOR(63 downto 0);
84
        MAH: IN std_logic_VECTOR(63 downto 0);
85
        S: IN std_logic_VECTOR(3 downto 0);
86
        O: OUT std_logic_VECTOR(63 downto 0));
87
end component;
88
 
89
-- Configuration specification 
90
        for all : wrapped_ctrl_mux16x64 use entity XilinxCoreLib.C_MUX_BUS_V6_0(behavioral)
91
                generic map(
92
                        c_has_aset => 0,
93
                        c_has_en => 0,
94
                        c_sync_priority => 1,
95
                        c_has_sclr => 0,
96
                        c_width => 64,
97
                        c_height => 0,
98
                        c_enable_rlocs => 0,
99
                        c_sel_width => 4,
100
                        c_latency => 0,
101
                        c_ainit_val => "0000000000000000000000000000000000000000000000000000000000000000",
102
                        c_has_ce => 0,
103
                        c_mux_type => 0,
104
                        c_has_aclr => 0,
105
                        c_sync_enable => 0,
106
                        c_has_ainit => 0,
107
                        c_sinit_val => "0000000000000000000000000000000000000000000000000000000000000000",
108
                        c_has_sset => 0,
109
                        c_has_sinit => 0,
110
                        c_has_q => 0,
111
                        c_has_o => 1,
112
                        c_inputs => 16);
113
BEGIN
114
 
115
U0 : wrapped_ctrl_mux16x64
116
                port map (
117
                        MA => MA,
118
                        MB => MB,
119
                        MC => MC,
120
                        MD => MD,
121
                        ME => ME,
122
                        MF => MF,
123
                        MG => MG,
124
                        MH => MH,
125
                        MAA => MAA,
126
                        MAB => MAB,
127
                        MAC => MAC,
128
                        MAD => MAD,
129
                        MAE => MAE,
130
                        MAF => MAF,
131
                        MAG => MAG,
132
                        MAH => MAH,
133
                        S => S,
134
                        O => O);
135
END ctrl_mux16x64_a;
136
 
137
-- synopsys translate_on
138
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.