OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [coregen/] [ctrl_mux8x48.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2003 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file ctrl_mux8x48.vhd when simulating
30
-- the core, ctrl_mux8x48. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Guide".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
-- synopsys translate_off
39
LIBRARY ieee;
40
USE ieee.std_logic_1164.ALL;
41
 
42
Library XilinxCoreLib;
43
ENTITY ctrl_mux8x48 IS
44
        port (
45
        MA: IN std_logic_VECTOR(47 downto 0);
46
        MB: IN std_logic_VECTOR(47 downto 0);
47
        MC: IN std_logic_VECTOR(47 downto 0);
48
        MD: IN std_logic_VECTOR(47 downto 0);
49
        ME: IN std_logic_VECTOR(47 downto 0);
50
        MF: IN std_logic_VECTOR(47 downto 0);
51
        MG: IN std_logic_VECTOR(47 downto 0);
52
        MH: IN std_logic_VECTOR(47 downto 0);
53
        S: IN std_logic_VECTOR(2 downto 0);
54
        O: OUT std_logic_VECTOR(47 downto 0));
55
END ctrl_mux8x48;
56
 
57
ARCHITECTURE ctrl_mux8x48_a OF ctrl_mux8x48 IS
58
 
59
component wrapped_ctrl_mux8x48
60
        port (
61
        MA: IN std_logic_VECTOR(47 downto 0);
62
        MB: IN std_logic_VECTOR(47 downto 0);
63
        MC: IN std_logic_VECTOR(47 downto 0);
64
        MD: IN std_logic_VECTOR(47 downto 0);
65
        ME: IN std_logic_VECTOR(47 downto 0);
66
        MF: IN std_logic_VECTOR(47 downto 0);
67
        MG: IN std_logic_VECTOR(47 downto 0);
68
        MH: IN std_logic_VECTOR(47 downto 0);
69
        S: IN std_logic_VECTOR(2 downto 0);
70
        O: OUT std_logic_VECTOR(47 downto 0));
71
end component;
72
 
73
-- Configuration specification 
74
        for all : wrapped_ctrl_mux8x48 use entity XilinxCoreLib.C_MUX_BUS_V6_0(behavioral)
75
                generic map(
76
                        c_has_aset => 0,
77
                        c_has_en => 0,
78
                        c_sync_priority => 1,
79
                        c_has_sclr => 0,
80
                        c_width => 48,
81
                        c_height => 0,
82
                        c_enable_rlocs => 0,
83
                        c_sel_width => 3,
84
                        c_latency => 0,
85
                        c_ainit_val => "000000000000000000000000000000000000000000000000",
86
                        c_has_ce => 0,
87
                        c_mux_type => 0,
88
                        c_has_aclr => 0,
89
                        c_sync_enable => 0,
90
                        c_has_ainit => 0,
91
                        c_sinit_val => "000000000000000000000000000000000000000000000000",
92
                        c_has_sset => 0,
93
                        c_has_sinit => 0,
94
                        c_has_q => 0,
95
                        c_has_o => 1,
96
                        c_inputs => 8);
97
BEGIN
98
 
99
U0 : wrapped_ctrl_mux8x48
100
                port map (
101
                        MA => MA,
102
                        MB => MB,
103
                        MC => MC,
104
                        MD => MD,
105
                        ME => ME,
106
                        MF => MF,
107
                        MG => MG,
108
                        MH => MH,
109
                        S => S,
110
                        O => O);
111
END ctrl_mux8x48_a;
112
 
113
-- synopsys translate_on
114
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.