OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [rtl/] [ctrl_start_v2.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : ctrl_start_v2
4
-- Author      : Dmitry Smekhov
5
-- Company     : Instrumental System
6
--
7
-- Version     : 1.6        
8
--                        
9
---------------------------------------------------------------------------------------------------
10
--
11
-- Каталог      :       rtl_s2e         - Реализация для Spartan-2E
12
--                              rtl_v2          - Реализация для Virtex-II
13
--
14
---------------------------------------------------------------------------------------------------
15
--
16
-- Description :  Выбор тактовой частоты и сигнала старта
17
--                                                                                              
18
--                              Модификация 2. Не используются счётчики CNT0, CNT1, CNT2
19
--
20
---------------------------------------------------------------------------------------------------
21
--                                      
22
-- Version 1.6  28.11.2006
23
--                              Исправлено формирование сигналов start_a_tr_clr и start_a_tr (по аналогии с ctrl_start_v4)
24
--              (Соколов)
25
--
26
-- Version 1.5  16.02.2006
27
--                              Исправлено формирование сигнала старта в программном режиме
28
--                              и установленным битом триггерного старта
29
--                                      
30
--
31
-- Version 1.4  28.04.2004
32
--                              Исправлено формирование сигнала старта в программном режиме
33
--                              и установленным битом инверсии старта.
34
--                              Добавлено описание пакета ctrl_start_v2_pkg.
35
--
36
-- Version 1.3  19.01.2004
37
--                              Исправлено формирование тактовой частоты в режиме ADM_MSYNC
38
--                                      
39
-- Version 1.2  25.12.2003
40
--                              Исправлено формирование сигнала старта в режиме Slave                                             
41
--                                      
42
-- Version 1.1  22.12.2003
43
--                              Исправлена схема формирования триггерного старта
44
--
45
---------------------------------------------------------------------------------------------------
46
 
47
library IEEE;
48
use IEEE.STD_LOGIC_1164.all;
49
use ieee.std_logic_unsigned.all;
50
 
51
 
52
package ctrl_start_v2_pkg is
53
 
54
component ctrl_start_v2 is
55
        port(
56
 
57
                reset: in std_logic;                                            -- 0 - сброс
58
                mode0: in std_logic_vector( 15 downto 0 );       -- регистр MODE0
59
                stmode: in std_logic_vector( 15 downto 0 );      -- регистр STMODE
60
                fmode:  in std_logic_vector(  5 downto 0 ); -- регистр FMODE
61
                fdiv:   in std_logic_vector( 15 downto 0 ); -- регистр FDIV
62
                fdiv_we: in std_logic;                                          -- 1 - запись в регистр FDIV
63
 
64
                b_clk:  in std_logic_vector( 15 downto 0 ); -- входы тактовой частоты
65
                b_start: in std_logic_vector( 15 downto 0 ); -- входы сигнала START
66
 
67
                bx_clk: out std_logic;          -- выход тактовой частоты 
68
                bx_start: out std_logic;        -- выход сигнала start синхронный с bx_clk
69
                bx_start_a: out std_logic;      -- асинхронный выход сигнала start 
70
                bx_start_sync: out std_logic; -- импульс синхронизации
71
 
72
                goe0: out std_logic;            -- включение генератора 60MHz
73
                goe1: out std_logic                     -- включение генератора 50MHz
74
 
75
        );
76
 
77
end component;
78
 
79
end package ctrl_start_v2_pkg;
80
 
81
 
82
library IEEE;
83
use IEEE.STD_LOGIC_1164.all;
84
use ieee.std_logic_unsigned.all;
85
 
86
 
87
 
88
entity ctrl_start_v2 is
89
        port(
90
 
91
                reset: in std_logic;                                            -- 0 - сброс
92
                mode0: in std_logic_vector( 15 downto 0 );       -- регистр MODE0
93
                stmode: in std_logic_vector( 15 downto 0 );      -- регистр STMODE
94
                fmode:  in std_logic_vector(  5 downto 0 ); -- регистр FMODE
95
                fdiv:   in std_logic_vector( 15 downto 0 ); -- регистр FDIV
96
                fdiv_we: in std_logic;                                          -- 1 - запись в регистр FDIV
97
 
98
                b_clk:  in std_logic_vector( 15 downto 0 ); -- входы тактовой частоты
99
                b_start: in std_logic_vector( 15 downto 0 ); -- входы сигнала START
100
 
101
                bx_clk: out std_logic;          -- выход тактовой частоты 
102
                bx_start: out std_logic;        -- выход сигнала start синхронный с bx_clk
103
                bx_start_a: out std_logic;      -- асинхронный выход сигнала start 
104
                bx_start_sync: out std_logic; -- импульс синхронизации
105
 
106
                goe0: out std_logic;            -- включение генератора 60MHz
107
                goe1: out std_logic                     -- включение генератора 50MHz
108
 
109
        );
110
 
111
end ctrl_start_v2;
112
 
113
 
114
architecture ctrl_start_v2 of ctrl_start_v2 is
115
 
116
 
117
 
118
signal clki: std_logic;                 -- выбранный опорный сигнал
119
signal clko_cnt: std_logic;             -- сигнал с выхода счётчика
120
signal clko: std_logic;                 -- сформированный сигнал   
121
 
122
signal clk_cnt: std_logic_vector( 15 downto 0 ); -- счётчик тактовой частоты
123
signal clk_cnt_z, clk_cnt_z1 :  std_logic;      -- 1 - clk_cnt=0
124
signal clk_cnt_half: std_logic; -- 1 - clk_cnt = fdiv/2
125
signal clk_div1: std_logic;             -- 1 - fdiv=1
126
signal xcnt0:   std_logic_vector( 15 downto 0 ); -- счётчик начальной задержки
127
signal xcnt1:   std_logic_vector( 15 downto 0 ); -- счётчик принимаемых слов
128
signal xcnt2:   std_logic_vector( 15 downto 0 ); -- счётчик пропускаемых слов
129
 
130
signal xcnt0_z: std_logic;              -- 1 - xcnt0=x"0000"
131
signal xcnt1_z: std_logic;              -- 1 - xcnt1=x"0000"
132
signal xcnt2_z: std_logic;              -- 1 - xcnt2=x"0000"
133
 
134
signal start_a: std_logic;              -- 0 - асинхронный старт
135
signal start_a_tr, start_a_tr1: std_logic;      -- 0 - асинхронный триггерный страт
136
signal start_a_tr_clr:  std_logic;
137
signal start_i, start_i1: std_logic;            -- 0 - выбранный источник сторта
138
signal stop_i, stop_i1: std_logic;                      -- 0 - выбранный источник останова
139
signal start_s, start_si: std_logic;            -- 0 - синхронный старт
140
signal start_cnt0: std_logic;                           -- 1 - блокировка на время работы счётчика 0
141
signal start_cnt12: std_logic;                          -- 1 - блокировка на время работы счётчиков 1 и 2
142
signal xcnt1_start: std_logic;                          -- 1 - разрешение работы счётчика xcnt1
143
signal xcnt2_start: std_logic;                          -- 1 - разрешение работы счётчика xcnt2   
144
signal adcen: std_logic;                                        -- 1 - программный старт
145
signal start_o: std_logic;                                      -- сформированный сигнал синхронного старта
146
signal clk_clr: std_logic;                                      -- 1 - сброс счётчика тактовой частоты
147
signal clk_clr_cl0:  std_logic;                         -- 1 - сброс clk_clr
148
signal clk_clr_block: std_logic;                        -- 1 - блокировка сброса
149
signal start_prog: std_logic;
150
signal prog_start: std_logic;                           -- 1 - выбран программный старт
151
 
152
begin
153
 
154
adcen <= mode0(5);
155
 
156
 
157
pr_clk: process( b_clk, fmode ) is
158
begin
159
        case fmode( 3 downto 0 ) is
160
                when "0000" => clki <= b_clk(0);
161
                when "0001" => clki <= b_clk(1);
162
                when "0010" => clki <= b_clk(2);
163
                when "0011" => clki <= b_clk(3);
164
                when "0100" => clki <= b_clk(4);
165
                when "0101" => clki <= b_clk(5);
166
                when "0110" => clki <= b_clk(6);
167
                when "0111" => clki <= b_clk(7);
168
                when "1000" => clki <= b_clk(8);
169
                when "1001" => clki <= b_clk(9);
170
                when "1010" => clki <= b_clk(10);
171
                when "1011" => clki <= b_clk(11);
172
                when "1100" => clki <= b_clk(12);
173
                when "1101" => clki <= b_clk(13);
174
                when "1110" => clki <= b_clk(14);
175
                when "1111" => clki <= b_clk(15);
176
                when others => null;
177
        end case;
178
end process;
179
 
180
goe0<='1' when fmode( 3 downto 0 )="0001" else '0';
181
goe1<='1' when fmode( 3 downto 0 )="0010" else '0';
182
 
183
 
184
pr_cnt_clk: process( reset, start_a, fdiv_we, fmode, clki ) is
185
begin
186
        if( reset='0' or ( clk_clr='1' and fmode(5)='1' ) or fdiv_we='1' ) then
187
                --clk_cnt<=(others=>'0');
188
                clk_cnt<=x"0001";
189
        elsif( rising_edge( clki ) ) then
190
                if( clk_cnt_z='1' ) then
191
                        clk_cnt<=fdiv;
192
                else
193
                        clk_cnt<=clk_cnt-1;
194
                end if;
195
        end if;
196
end process;
197
 
198
clk_div1<='1' when fdiv=x"0001" else '0';
199
clk_cnt_z<='1' when clk_cnt=x"0001" else '0';
200
clk_cnt_half<='1' when clk_cnt( 14 downto 0 )=fdiv( 15 downto 1 ) else '0';
201
 
202
pr_clk_cnt_z1:  process( clki ) begin
203
        if( rising_edge( clki ) ) then
204
                clk_cnt_z1<=clk_cnt_z;
205
        end if;
206
end process;
207
 
208
pr_clko_cnt: process( clki, clk_cnt, clk_div1, clk_cnt_half ) is
209
begin
210
        if( clk_div1='1' ) then
211
                clko_cnt<=clki;
212
        elsif( rising_edge( clki ) ) then
213
                if( clk_cnt_z1='1' ) then clko_cnt<='0';
214
                elsif( clk_cnt_half='1' ) then clko_cnt<='1';
215
                end if;
216
        end if;
217
end process;
218
 
219
pr_clko: process( mode0, b_clk, clko_cnt ) is
220
begin
221
        if( mode0(4)='0' ) then
222
                clko<=b_clk(4);
223
        elsif( mode0(6)='1' ) then
224
                clko<=b_clk(7);
225
        else
226
                clko<=clko_cnt;
227
        end if;
228
end process;
229
 
230
bx_clk<=clko;
231
 
232
-- Старт
233
 
234
pr_starto: process( mode0, b_start, start_s ) is
235
begin
236
        if( mode0(4)='0' ) then -- SLAVE
237
                start_o<=b_start(4) or not mode0(5);
238
        else
239
                start_o<=start_s;
240
        end if;
241
end process;
242
 
243
bx_start<=start_o;
244
 
245
pr_start_i: process( stmode, b_start, start_prog ) is
246
begin
247
        case stmode( 3 downto 0 ) is
248
                when "0000" => start_i <= start_prog;
249
                when "0001" => start_i <= b_start(1);
250
                when "0010" => start_i <= b_start(2);
251
                when "0011" => start_i <= b_start(3);
252
                when "0100" => start_i <= b_start(4);
253
                when "0101" => start_i <= b_start(5);
254
                when "0110" => start_i <= b_start(6);
255
                when "0111" => start_i <= b_start(7);
256
                when "1000" => start_i <= b_start(8);
257
                when "1001" => start_i <= b_start(9);
258
                when "1010" => start_i <= b_start(10);
259
                when "1011" => start_i <= b_start(11);
260
                when "1100" => start_i <= b_start(12);
261
                when "1101" => start_i <= b_start(13);
262
                when "1110" => start_i <= b_start(14);
263
                when "1111" => start_i <= b_start(15);
264
                when others => null;
265
        end case;
266
end process;
267
start_prog<=( not mode0(5) ) xor stmode(6) when rising_edge(b_clk(0) );
268
--start_i1<= ( start_i xor stmode(6) ) or ( not mode0(5) );
269
start_i1<= ( start_i xor stmode(6) );
270
 
271
pr_stop_i: process( stmode, b_start, mode0(5) ) is
272
begin
273
        case stmode( 11 downto 8 ) is
274
                when "0000" => stop_i <= ( not mode0(5) ) xor stmode(14);
275
                when "0001" => stop_i <= b_start(1);
276
                when "0010" => stop_i <= b_start(2);
277
                when "0011" => stop_i <= b_start(3);
278
                when "0100" => stop_i <= b_start(4);
279
                when "0101" => stop_i <= b_start(5);
280
                when "0110" => stop_i <= b_start(6);
281
                when "0111" => stop_i <= b_start(7);
282
                when "1000" => stop_i <= b_start(8);
283
                when "1001" => stop_i <= b_start(9);
284
                when "1010" => stop_i <= b_start(10);
285
                when "1011" => stop_i <= b_start(11);
286
                when "1100" => stop_i <= b_start(12);
287
                when "1101" => stop_i <= b_start(13);
288
                when "1110" => stop_i <= b_start(14);
289
                when "1111" => stop_i <= b_start(15);
290
                when others => null;
291
        end case;
292
end process;
293
 
294
stop_i1<= stop_i xor stmode(14);
295
 
296
pr_start_a_tr: process( mode0, start_a_tr_clr, start_i1 )
297
begin
298
        if( mode0(5)='0' or start_a_tr_clr='1' ) then
299
                start_a_tr<='1';
300
        elsif( prog_start='1' and mode0(5)='1' ) then
301
                start_a_tr<='0';
302
        elsif( falling_edge( start_i1 ) ) then
303
                start_a_tr<='0';
304
        end if;
305
end process;
306
 
307
 
308
pr_start_a_tr1: process( b_clk ) begin
309
        if( rising_edge( b_clk(0) ) ) then
310
                start_a_tr1<=start_a_tr;
311
        end if;
312
end process;
313
 
314
pr_start_a_tr_clr: process( mode0, prog_start, start_a_tr1, stop_i1 ) begin
315
        if( start_a_tr1='1' or mode0(5)='0' or prog_start='1' ) then
316
                start_a_tr_clr<='0';
317
        elsif( rising_edge( stop_i1 ) ) then
318
                start_a_tr_clr<='1';
319
        end if;
320
end process;
321
 
322
 
323
--start_a<=start_a_tr when stmode(7)='1' else start_i1;
324
start_a<=start_a_tr when stmode(7)='1' else start_i1  or ( not mode0(5) );
325
bx_start_a<=start_a;
326
 
327
pr_start_si: process( clko ) begin
328
        if( rising_edge( clko ) ) then
329
                start_si<=start_a;
330
        end if;
331
end process;
332
 
333
start_s<=start_si;
334
 
335
 
336
 
337
pr_clk_clr: process( reset, clk_clr_cl0, start_a ) begin
338
        if( reset='0' or clk_clr_cl0='1' ) then
339
                clk_clr<='0';
340
        elsif( falling_edge( start_a ) ) then
341
                clk_clr<='1';
342
        end if;
343
end process;
344
 
345
bx_start_sync <= clk_clr;
346
 
347
pr_clk_cl0: process( reset, b_clk(0) ) begin
348
        if( reset='0' ) then
349
                clk_clr_cl0<='0';
350
        elsif( rising_edge( b_clk(0) ) ) then
351
                if( clk_clr='1' and clk_clr_block='0' ) then
352
                         clk_clr_cl0<='1';
353
                else
354
                        clk_clr_cl0<='0';
355
                end if;
356
        end if;
357
end process;
358
 
359
 
360
pr_clk_clr_block: process( reset, b_clk(0) )
361
begin
362
        if( reset='0' ) then
363
                clk_clr_block<='0';
364
        elsif( rising_edge( b_clk(0) ) ) then
365
                if( clk_cnt_half='1' and clk_clr_block='0' ) then
366
                        clk_clr_block<='1';
367
                else
368
                        clk_clr_block<='0';
369
                end if;
370
        end if;
371
end process;
372
 
373
 
374
end ctrl_start_v2;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.