OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [ds_dma64/] [pcie_src/] [components/] [rtl/] [host_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : host_pkg
4
-- Author      : Dmitry Smekhov
5
-- Company     : Instrumental System
6
-- E-mail      : dsmv@insys.ru
7
--
8
-- Version     : 1.0
9
---------------------------------------------------------------------------------------------------
10
--
11
-- Description : Определение общих типов данных
12
--
13
---------------------------------------------------------------------------------------------------
14
 
15
 
16
library IEEE;
17
use IEEE.STD_LOGIC_1164.all;
18
 
19
package host_pkg is
20
 
21
 
22
type bh_rom is array( 7 downto 0 ) of std_logic_vector( 15 downto 0 );
23
 
24
 
25
 
26
 
27
end package;
28
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.