OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [ds_dma64/] [pcie_src/] [pcie_core64_m1/] [source/] [pcie_blk_ll.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
 
2
//-----------------------------------------------------------------------------
3
//
4
// (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
5
//
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
//
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
//
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
//
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
//
50
//-----------------------------------------------------------------------------
51
// Project    : V5-Block Plus for PCI Express
52
// File       : pcie_blk_ll.v
53
//--------------------------------------------------------------------------------
54
//--------------------------------------------------------------------------------
55
//--
56
//-- Description: PCIe Block LocalLink Bridge
57
//--
58
//--             
59
//--
60
//--------------------------------------------------------------------------------
61
 
62
`timescale 1ns/1ns
63
 
64
module pcie_blk_ll #
65
(
66
   parameter   BAR0 = 32'hffff_0001,               // base address                                   cfg[ 95: 64]
67
   parameter   BAR1 = 32'hffff_0000,               // base address                                   cfg[127: 96]
68
   parameter   BAR2 = 32'hffff_0004,               // base address                                   cfg[159:128]
69
   parameter   BAR3 = 32'hffff_ffff,               // base address                                   cfg[191:160]
70
   parameter   BAR4 = 32'h0000_0000,               // base address                                   cfg[223:192]
71
   parameter   BAR5 = 32'h0000_0000,               // base address                                   cfg[255:224]
72
   parameter   XROM_BAR = 32'hffff_f001,           // expansion rom bar                              cfg[351:320]
73
   parameter   MPS = 3'b101,                       // Max Payload Size                               cfg[370:368]
74
   parameter   LEGACY_EP = 1'b0,                   // Legacy PCI endpoint?
75
   parameter   TRIM_ECRC = 1'b0,                   // Trim ECRC from rx TLPs                         cfg[508]
76
   parameter   CPL_STREAMING_PRIORITIZE_P_NP = 0,  // arb priority to P/NP during cpl strm
77
   parameter   C_CALENDAR_LEN     = 9,
78
   parameter   C_CALENDAR_SUB_LEN = 12,
79
   parameter   C_CALENDAR_SEQ     = 72'h68_08_68_2C_68_08_68_0C_FF, //S Tc S T1 S Tc S T2 F
80
   parameter   C_CALENDAR_SUB_SEQ = 96'h40_60_44_64_4C_6C_20_24_28_2C_30_34,
81
   parameter   TX_DATACREDIT_FIX_EN     = 1,
82
   parameter   TX_DATACREDIT_FIX_1DWONLY= 1,
83
   parameter   TX_DATACREDIT_FIX_MARGIN = 6,
84
   parameter   TX_CPL_STALL_THRESHOLD = 6
85
)
86
(
87
       // Clock and reset
88
       input wire         clk,
89
       input wire         rst_n,
90
 
91
       // Transaction Link Up
92
       input              trn_lnk_up_n,
93
 
94
       // PCIe Block Tx Ports
95
       output      [63:0] llk_tx_data,
96
       output             llk_tx_src_rdy_n,
97
       output             llk_tx_src_dsc_n,
98
       output             llk_tx_sof_n,
99
       output             llk_tx_eof_n,
100
       output             llk_tx_sop_n,
101
       output             llk_tx_eop_n,
102
       output      [1:0]  llk_tx_enable_n,
103
       output      [2:0]  llk_tx_ch_tc,
104
       output      [1:0]  llk_tx_ch_fifo,
105
 
106
       input  wire        llk_tx_dst_rdy_n,
107
       input  wire [9:0]  llk_tx_chan_space,
108
       input  wire [7:0]  llk_tx_ch_posted_ready_n,
109
       input  wire [7:0]  llk_tx_ch_non_posted_ready_n,
110
       input  wire [7:0]  llk_tx_ch_completion_ready_n,
111
 
112
       // PCIe Block Rx Ports
113
       output             llk_rx_dst_req_n,
114
       output             llk_rx_dst_cont_req_n,
115
       output [2:0]       llk_rx_ch_tc,
116
       output [1:0]       llk_rx_ch_fifo,
117
 
118
       input  wire [7:0]  llk_tc_status,
119
       input  wire [63:0] llk_rx_data,
120
       output wire [63:0] llk_rx_data_d, //needed by mgmt module
121
       input  wire        llk_rx_src_rdy_n,
122
       input  wire        llk_rx_src_last_req_n,
123
       input  wire        llk_rx_src_dsc_n,
124
       input  wire        llk_rx_sof_n,
125
       input  wire        llk_rx_eof_n,
126
       input  wire [1:0]  llk_rx_valid_n,
127
       input  wire [7:0]  llk_rx_ch_posted_available_n,
128
       input  wire [7:0]  llk_rx_ch_non_posted_available_n,
129
       input  wire [7:0]  llk_rx_ch_completion_available_n,
130
       input  wire [15:0] llk_rx_preferred_type,
131
 
132
       // PCIe Block MGMT Credit Status
133
 
134
       output wire [6:0]  mgmt_stats_credit_sel,
135
       input  wire [11:0] mgmt_stats_credit,
136
 
137
       // LocalLink Tx Ports (User)
138
 
139
       input  wire [63:0] trn_td,
140
       input  wire [7:0]  trn_trem_n,
141
       input  wire        trn_tsof_n,
142
       input              trn_teof_n,
143
       input              trn_tsrc_rdy_n,
144
       input              trn_tsrc_dsc_n,
145
       input              trn_terrfwd_n,
146
 
147
       output             trn_tdst_rdy_n,
148
       output             trn_tdst_dsc_n,
149
       output      [3:0]  trn_tbuf_av,
150
 
151
       output      [7:0]  trn_pfc_nph_cl,
152
       output      [11:0] trn_pfc_npd_cl,
153
       output      [7:0]  trn_pfc_ph_cl,
154
       output      [11:0] trn_pfc_pd_cl,
155
       output      [7:0]  trn_pfc_cplh_cl,
156
       output      [11:0] trn_pfc_cpld_cl,
157
 
158
       // LocalLink TX Ports (Cfg/Mgmt)
159
 
160
       input       [63:0] cfg_tx_td,
161
       input              cfg_tx_rem_n,
162
       input              cfg_tx_sof_n,
163
       input              cfg_tx_eof_n,
164
       input              cfg_tx_src_rdy_n,
165
       output             cfg_tx_dst_rdy_n,
166
 
167
       // LocalLink Rx Ports
168
 
169
       output      [63:0] trn_rd,
170
       output      [7:0]  trn_rrem_n,
171
       output             trn_rsof_n,
172
       output             trn_reof_n,
173
       output             trn_rsrc_rdy_n,
174
       output             trn_rsrc_dsc_n,
175
       output             trn_rerrfwd_n,
176
       output      [6:0]  trn_rbar_hit_n,
177
       output      [7:0]  trn_rfc_nph_av,
178
       output      [11:0] trn_rfc_npd_av,
179
       output      [7:0]  trn_rfc_ph_av,
180
       output      [11:0] trn_rfc_pd_av,
181
       output      [7:0]  trn_rfc_cplh_av,
182
       output      [11:0] trn_rfc_cpld_av,
183
 
184
       input  wire        trn_rnp_ok_n,
185
       input  wire        trn_rdst_rdy_n,
186
       input  wire        trn_rcpl_streaming_n,
187
 
188
       // Sideband signals to control operation
189
       input  wire [31:0] cfg_rx_bar0,
190
       input  wire [31:0] cfg_rx_bar1,
191
       input  wire [31:0] cfg_rx_bar2,
192
       input  wire [31:0] cfg_rx_bar3,
193
       input  wire [31:0] cfg_rx_bar4,
194
       input  wire [31:0] cfg_rx_bar5,
195
       input  wire [31:0] cfg_rx_xrom,
196
       input  wire [7:0]  cfg_bus_number,
197
       input  wire [4:0]  cfg_device_number,
198
       input  wire [2:0]  cfg_function_number,
199
       input  wire [15:0] cfg_dcommand,
200
       input  wire [15:0] cfg_pmcsr,
201
       input  wire        io_space_enable,
202
       input  wire        mem_space_enable,
203
       input  wire [2:0]  max_payload_size,
204
 
205
       // Error signaling logic
206
       output wire        rx_err_cpl_abort_n,
207
       output wire        rx_err_cpl_ur_n,
208
       output wire        rx_err_cpl_ep_n,
209
       output wire        rx_err_ep_n,
210
       output wire [47:0] err_tlp_cpl_header,
211
       output wire        err_tlp_p,
212
       output wire        err_tlp_ur,
213
       output wire        err_tlp_ur_lock,
214
       output wire        err_tlp_uc,
215
       output wire        err_tlp_malformed,
216
       output wire        tx_err_wr_ep_n,
217
 
218
       input              l0_stats_tlp_received,
219
       input              l0_stats_cfg_transmitted
220
);
221
 
222
wire  [7:0] tx_ch_credits_consumed;
223
wire [11:0] tx_pd_credits_consumed;
224
wire [11:0] tx_pd_credits_available;
225
wire [11:0] tx_npd_credits_consumed;
226
wire [11:0] tx_npd_credits_available;
227
wire [11:0] tx_cd_credits_consumed;
228
wire [11:0] tx_cd_credits_available;
229
wire  [7:0] rx_ch_credits_received;
230
wire        trn_pfc_cplh_cl_upd;
231
 
232
pcie_blk_plus_ll_tx #
233
( .TX_CPL_STALL_THRESHOLD   ( TX_CPL_STALL_THRESHOLD ),
234
  .TX_DATACREDIT_FIX_EN     ( TX_DATACREDIT_FIX_EN ),
235
  .TX_DATACREDIT_FIX_1DWONLY( TX_DATACREDIT_FIX_1DWONLY ),
236
  .TX_DATACREDIT_FIX_MARGIN ( TX_DATACREDIT_FIX_MARGIN ),
237
  .MPS                      ( MPS ),
238
  .LEGACY_EP                ( LEGACY_EP )
239
)
240
tx_bridge
241
(
242
       // Clock & Reset
243
 
244
       .clk( clk ),                                               // I
245
       .rst_n( rst_n ),                                           // I
246
 
247
       // Transaction Link Up
248
 
249
       .trn_lnk_up_n (trn_lnk_up_n),                              // I
250
 
251
       // PCIe Block Tx Ports
252
 
253
       .llk_tx_data( llk_tx_data ),                               // O[63:0] 
254
       .llk_tx_src_rdy_n( llk_tx_src_rdy_n ),                     // O
255
       .llk_tx_src_dsc_n( llk_tx_src_dsc_n ),                     // O
256
       .llk_tx_sof_n( llk_tx_sof_n ),                             // O
257
       .llk_tx_eof_n( llk_tx_eof_n ),                             // O
258
       .llk_tx_sop_n( llk_tx_sop_n ),                             // O
259
       .llk_tx_eop_n( llk_tx_eop_n ),                             // O
260
       .llk_tx_enable_n( llk_tx_enable_n ),                       // O[1:0]
261
       .llk_tx_ch_tc( llk_tx_ch_tc ),                             // O[2:0]
262
       .llk_tx_ch_fifo( llk_tx_ch_fifo ),                         // O[1:0]
263
 
264
       .llk_tx_dst_rdy_n( llk_tx_dst_rdy_n ),                     // I
265
       .llk_tx_chan_space( llk_tx_chan_space ),                   // I[9:0]
266
       .llk_tx_ch_posted_ready_n( llk_tx_ch_posted_ready_n ),     // I[7:0]
267
       .llk_tx_ch_non_posted_ready_n( llk_tx_ch_non_posted_ready_n ), // I[7:0]
268
       .llk_tx_ch_completion_ready_n( llk_tx_ch_completion_ready_n ), // I[7:0]
269
 
270
       // LocalLink Tx Ports
271
 
272
       .trn_td( trn_td ),                                         // I[63:0]
273
       .trn_trem_n( trn_trem_n ),                                 // I[7:0]
274
       .trn_tsof_n( trn_tsof_n ),                                 // I
275
       .trn_teof_n( trn_teof_n ),                                 // I
276
       .trn_tsrc_rdy_n( trn_tsrc_rdy_n ),                         // I
277
       .trn_tsrc_dsc_n( trn_tsrc_dsc_n ),                         // I
278
       .trn_terrfwd_n( trn_terrfwd_n ),                           // I
279
 
280
       .trn_tdst_rdy_n( trn_tdst_rdy_n ),                         // O
281
       .trn_tdst_dsc_n( trn_tdst_dsc_n ),                         // O
282
       .trn_tbuf_av( trn_tbuf_av ),                               // O[2:0]
283
 
284
       // Config Tx Ports
285
 
286
       .cfg_tx_td( cfg_tx_td ),                                   // I[63:0]
287
       .cfg_tx_rem_n( cfg_tx_rem_n ),                             // I
288
       .cfg_tx_sof_n( cfg_tx_sof_n ),                             // I
289
       .cfg_tx_eof_n( cfg_tx_eof_n ),                             // I
290
       .cfg_tx_src_rdy_n( cfg_tx_src_rdy_n ),                     // I
291
       .cfg_tx_dst_rdy_n( cfg_tx_dst_rdy_n ),                     // O
292
 
293
       // Status Ports
294
       .tx_err_wr_ep_n( tx_err_wr_ep_n ),                         // O
295
       .tx_ch_credits_consumed   ( tx_ch_credits_consumed ),
296
       .tx_pd_credits_available  ( tx_pd_credits_available ),
297
       .tx_pd_credits_consumed   ( tx_pd_credits_consumed ),
298
       .tx_npd_credits_available ( tx_npd_credits_available ),
299
       .tx_npd_credits_consumed  ( tx_npd_credits_consumed ),
300
       .tx_cd_credits_available  ( tx_cd_credits_available ),
301
       .tx_cd_credits_consumed   ( tx_cd_credits_consumed ),
302
       .clear_cpl_count          ( clear_cpl_count ),
303
       .pd_credit_limited        ( pd_credit_limited ),
304
       .npd_credit_limited       ( npd_credit_limited ),
305
       .cd_credit_limited        ( cd_credit_limited ),
306
       .trn_pfc_cplh_cl          ( trn_pfc_cplh_cl),
307
       .trn_pfc_cplh_cl_upd      ( trn_pfc_cplh_cl_upd),
308
       .l0_stats_cfg_transmitted ( l0_stats_cfg_transmitted )
309
);
310
 
311
pcie_blk_plus_ll_rx #
312
(      .BAR0( BAR0 ),
313
       .BAR1( BAR1 ),
314
       .BAR2( BAR2 ),
315
       .BAR3( BAR3 ),
316
       .BAR4( BAR4 ),
317
       .BAR5( BAR5 ),
318
       .XROM_BAR( XROM_BAR ),
319
       .MPS( MPS ),
320
       .LEGACY_EP ( LEGACY_EP ),
321
       .TRIM_ECRC ( TRIM_ECRC ),
322
       .CPL_STREAMING_PRIORITIZE_P_NP(CPL_STREAMING_PRIORITIZE_P_NP)
323
)
324
rx_bridge
325
(
326
       // Clock & Reset
327
 
328
       .clk( clk ),                                               // I
329
       .rst_n( rst_n ),                                           // I
330
 
331
       // PCIe Block Rx Ports
332
 
333
       .llk_rx_dst_req_n( llk_rx_dst_req_n ),                     // O
334
       .llk_rx_ch_tc( llk_rx_ch_tc ),                             // O[2:0]
335
       .llk_rx_ch_fifo( llk_rx_ch_fifo ),                         // O[1:0]
336
       .llk_rx_dst_cont_req_n(llk_rx_dst_cont_req_n ),            // O
337
       .llk_tc_status( llk_tc_status ),                           // I[7:0]
338
       .llk_rx_data  ( llk_rx_data ),                             // I[63:0]
339
       .llk_rx_data_d( llk_rx_data_d ),                           // O[63:0]
340
       .llk_rx_src_rdy_n( llk_rx_src_rdy_n ),                     // I
341
       .llk_rx_src_last_req_n( llk_rx_src_last_req_n ),           // I
342
       .llk_rx_src_dsc_n( llk_rx_src_dsc_n ),                     // I
343
       .llk_rx_sof_n( llk_rx_sof_n ),                             // I
344
       .llk_rx_eof_n( llk_rx_eof_n ),                             // I
345
       .llk_rx_valid_n( llk_rx_valid_n ),                         // I[1:0]
346
       .llk_rx_ch_posted_available_n( llk_rx_ch_posted_available_n ),         // I[7:0]
347
       .llk_rx_ch_non_posted_available_n( llk_rx_ch_non_posted_available_n ), // I[7:0]
348
       .llk_rx_ch_completion_available_n( llk_rx_ch_completion_available_n ), // I[7:0]
349
       .llk_rx_preferred_type( llk_rx_preferred_type ),           // I[15:0]
350
 
351
       // LocalLink Rx Ports
352
       .trn_rd( trn_rd ),                                         // O[63:0]
353
       .trn_rrem_n( trn_rrem_n ),                                 // O[7:0]
354
       .trn_rsof_n( trn_rsof_n ),                                 // O
355
       .trn_reof_n( trn_reof_n ),                                 // O
356
       .trn_rsrc_rdy_n( trn_rsrc_rdy_n ),                         // O
357
       .trn_rsrc_dsc_n( trn_rsrc_dsc_n ),                         // O
358
       .trn_rerrfwd_n( trn_rerrfwd_n ),                           // O
359
       .trn_rbar_hit_n( trn_rbar_hit_n ),                         // O[6:0]
360
       .trn_lnk_up_n( trn_lnk_up_n ),                             // O
361
 
362
       .trn_rnp_ok_n( trn_rnp_ok_n ),                             // I
363
       .trn_rdst_rdy_n( trn_rdst_rdy_n ),                         // I
364
       .trn_rcpl_streaming_n( trn_rcpl_streaming_n ),             // I
365
 
366
       // Sideband signals to control operation
367
       .cfg_rx_bar0( cfg_rx_bar0 ),                               // I[31:0]
368
       .cfg_rx_bar1( cfg_rx_bar1 ),                               // I[31:0]
369
       .cfg_rx_bar2( cfg_rx_bar2 ),                               // I[31:0]
370
       .cfg_rx_bar3( cfg_rx_bar3 ),                               // I[31:0]
371
       .cfg_rx_bar4( cfg_rx_bar4 ),                               // I[31:0]
372
       .cfg_rx_bar5( cfg_rx_bar5 ),                               // I[31:0]
373
       .cfg_rx_xrom( cfg_rx_xrom ),                               // I[31:0]
374
       .cfg_bus_number( cfg_bus_number ),                         // I[7:0]
375
       .cfg_device_number( cfg_device_number ),                   // I[4:0]
376
       .cfg_function_number( cfg_function_number ),               // I[2:0]
377
       .cfg_dcommand( cfg_dcommand ),                             // I[15:0]
378
       .cfg_pmcsr( cfg_pmcsr ),                                   // I[15:0]
379
       .io_space_enable( io_space_enable ),                       // I
380
       .mem_space_enable( mem_space_enable ),                     // I
381
       .max_payload_size( max_payload_size ),                     // I[2:0]
382
 
383
       // Error reporting
384
       .rx_err_cpl_abort_n( rx_err_cpl_abort_n ),                 // O
385
       .rx_err_cpl_ur_n( rx_err_cpl_ur_n ),                       // O
386
       .rx_err_cpl_ep_n( rx_err_cpl_ep_n ),                       // O
387
       .rx_err_ep_n( rx_err_ep_n ),                               // O
388
       .err_tlp_cpl_header( err_tlp_cpl_header ),                 // O[47:0]
389
       .err_tlp_p( err_tlp_p ),                                   // O
390
       .err_tlp_ur( err_tlp_ur ),                                 // O
391
       .err_tlp_ur_lock( err_tlp_ur_lock ),                       // O
392
       .err_tlp_uc( err_tlp_uc ),                                 // O
393
       .err_tlp_malformed( err_tlp_malformed ),                   // O
394
       .rx_ch_credits_received     (rx_ch_credits_received),
395
       .rx_ch_credits_received_inc (rx_ch_credits_received_inc),
396
       .l0_stats_tlp_received (l0_stats_tlp_received)
397
);
398
 
399
  // Rx Credit calculation logic
400
  pcie_blk_ll_credit
401
  #( .C_CALENDAR_LEN     (C_CALENDAR_LEN),
402
     .C_CALENDAR_SUB_LEN (C_CALENDAR_SUB_LEN),
403
     .C_CALENDAR_SEQ     (C_CALENDAR_SEQ),
404
     .C_CALENDAR_SUB_SEQ (C_CALENDAR_SUB_SEQ),
405
     .MPS                (MPS),
406
     .LEGACY_EP          (LEGACY_EP)
407
  )
408
  ll_credit
409
  (.clk                   (clk),
410
   .rst_n                 (rst_n),
411
   .mgmt_stats_credit_sel (mgmt_stats_credit_sel),
412
   .mgmt_stats_credit     (mgmt_stats_credit),
413
   .trn_pfc_nph_cl( trn_pfc_nph_cl),
414
   .trn_pfc_npd_cl( trn_pfc_npd_cl),
415
   .trn_pfc_ph_cl( trn_pfc_ph_cl),
416
   .trn_pfc_pd_cl( trn_pfc_pd_cl),
417
   .trn_pfc_cplh_cl       (trn_pfc_cplh_cl),
418
   .trn_pfc_cplh_cl_upd   (trn_pfc_cplh_cl_upd),
419
   .trn_pfc_cpld_cl       (trn_pfc_cpld_cl),
420
   .trn_lnk_up_n          (trn_lnk_up_n),
421
   .trn_rfc_ph_av         (trn_rfc_ph_av),
422
   .trn_rfc_pd_av         (trn_rfc_pd_av),
423
   .trn_rfc_nph_av        (trn_rfc_nph_av),
424
   .trn_rfc_npd_av        (trn_rfc_npd_av),
425
   .trn_rfc_cplh_av       (trn_rfc_cplh_av),
426
   .trn_rfc_cpld_av       (trn_rfc_cpld_av),
427
   .trn_rcpl_streaming_n  (trn_rcpl_streaming_n),
428
   .rx_ch_credits_received     (rx_ch_credits_received),
429
   .rx_ch_credits_received_inc (rx_ch_credits_received_inc),
430
   .tx_ch_credits_consumed     (tx_ch_credits_consumed),
431
   .tx_pd_credits_available    (tx_pd_credits_available),
432
   .tx_pd_credits_consumed     (tx_pd_credits_consumed),
433
   .tx_npd_credits_available   (tx_npd_credits_available),
434
   .tx_npd_credits_consumed    (tx_npd_credits_consumed),
435
   .tx_cd_credits_available    (tx_cd_credits_available),
436
   .tx_cd_credits_consumed     (tx_cd_credits_consumed),
437
   .clear_cpl_count            (clear_cpl_count),
438
   .pd_credit_limited          (pd_credit_limited),
439
   .npd_credit_limited         (npd_credit_limited),
440
   .cd_credit_limited          (cd_credit_limited),
441
   .l0_stats_cfg_transmitted   (l0_stats_cfg_transmitted)
442
);
443
 
444
endmodule // pcie_blk_ll

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.