OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [ds_dma64/] [pcie_src/] [pcie_core64_m1/] [source/] [pcie_gt_wrapper_top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
 
2
//-----------------------------------------------------------------------------
3
//
4
// (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
5
//
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
//
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
//
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
//
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
//
50
//-----------------------------------------------------------------------------
51
// Project    : V5-Block Plus for PCI Express
52
// File       : pcie_gt_wrapper_top.v
53
//--------------------------------------------------------------------------------
54
//--------------------------------------------------------------------------------
55
//-----------------------------------------------------------------------------
56
//
57
//  Description : Top-level wrapper for Rocket IO Transceivers that
58
//  instantiates GTP/GTX transceivers based upon the Virtex-5 family chosen
59
//
60
//-----------------------------------------------------------------------------  
61
 
62
module pcie_gt_wrapper_top #
63
(
64
    parameter NO_OF_LANES = 1,
65
    parameter SIM = 0,
66
    parameter USE_V5FXT = 0,
67
    parameter REF_CLK_FREQ = 1, // use 0 or 1
68
    parameter TXDIFFBOOST = "FALSE",
69
    parameter GTDEBUGPORTS = 0
70
 
71
)
72
 
73
(
74
 
75
output  wire   [7:0]                gt_rx_elec_idle,
76
output  wire   [23:0]               gt_rx_status,
77
output  wire   [63:0]               gt_rx_data,
78
output  wire   [7:0]                gt_rx_phy_status,
79
output  wire   [7:0]                gt_rx_data_k,
80
output  wire   [7:0]                gt_rx_valid,
81
output  wire   [7:0]                gt_rx_chanisaligned,
82
input   wire   [NO_OF_LANES-1:0]    gt_rx_n,
83
input   wire   [NO_OF_LANES-1:0]    gt_rx_p,
84
 
85
output  wire   [NO_OF_LANES-1:0]    gt_tx_n,
86
output  wire   [NO_OF_LANES-1:0]    gt_tx_p,
87
input   wire   [63:0]               gt_tx_data,
88
input   wire   [7:0]                gt_tx_data_k,
89
input   wire   [7:0]                gt_tx_elec_idle,
90
input   wire   [7:0]                gt_tx_detect_rx_loopback,
91
input   wire   [7:0]                gt_tx_compliance,
92
input   wire   [7:0]                gt_rx_polarity,
93
input   wire   [15:0]               gt_power_down,
94
input   wire   [7:0]                gt_deskew_lanes,
95
input   wire   [7:0]                gt_pipe_reset,
96
input   wire   [7:0]                gt_rx_present,
97
 
98
input   wire                        gsr,
99
input   wire                        gtreset,
100
input   wire                        refclk,
101
output  wire                        refclkout_bufg,
102
output  wire                        gtclk_bufg,
103
output  wire  [7:0]                 resetdone,
104
output  wire  [3:0]                 plllkdet_out,
105
input   wire                        gt_usrclk,
106
input   wire                        gt_usrclk2,
107
input   wire                        txsync_clk,
108
output  wire  [7:0]                 rxbyteisaligned,
109
output  wire  [7:0]                 rxchanbondseq,
110
 
111
output  wire                        pcie_reset,
112
input   wire                        clock_lock,
113
input   wire                        trn_lnk_up_n,
114
 
115
// GTP register ports
116
 
117
input   wire                        gt_dclk,
118
input   wire   [NO_OF_LANES*7-1:0]  gt_daddr,
119
input   wire   [NO_OF_LANES-1:0]    gt_den,
120
input   wire   [NO_OF_LANES-1:0]    gt_dwen,
121
input   wire   [NO_OF_LANES*16-1:0] gt_di,
122
output  wire   [NO_OF_LANES*16-1:0] gt_do,
123
output  wire   [NO_OF_LANES-1:0]    gt_drdy,
124
 
125
input   wire  [2:0]                 gt_txdiffctrl_0,
126
input   wire  [2:0]                 gt_txdiffctrl_1,
127
input   wire  [2:0]                 gt_txbuffctrl_0,
128
input   wire  [2:0]                 gt_txbuffctrl_1,
129
input   wire  [2:0]                 gt_txpreemphesis_0,
130
input   wire  [2:0]                 gt_txpreemphesis_1
131
 
132
);
133
 
134
 
135
generate
136
 
137
// Instantiate wrapper for Rocket IO GTX transceivers if V5 FXT is used, 
138
// else instantiate wrapper for Rocket IO GTP transceivers  
139
 
140
  if (USE_V5FXT == 0) begin
141
 
142
       pcie_gt_wrapper#
143
        (
144
              .NO_OF_LANES(NO_OF_LANES),
145
              .SIM(SIM),
146
              // REF_CLK_FREQ is 0 for 100 MHz, 1 for 250 MHz
147
              .PLL_DIVSEL_FB(REF_CLK_FREQ ? 1 : 5),
148
              .PLL_DIVSEL_REF(REF_CLK_FREQ ? 1 : 2),
149
              .CLK25_DIVIDER(REF_CLK_FREQ ? 10 : 4),
150
              .TXDIFFBOOST(TXDIFFBOOST)
151
        )
152
 
153
        pcie_gt_wrapper_i
154
        (
155
              .gt_rx_elec_idle         (gt_rx_elec_idle),
156
              .gt_rx_status            (gt_rx_status),
157
              .gt_rx_data              (gt_rx_data),
158
              .gt_rx_phy_status        (gt_rx_phy_status),
159
              .gt_rx_data_k            (gt_rx_data_k),
160
              .gt_rx_valid             (gt_rx_valid),
161
              .gt_rx_chanisaligned     (gt_rx_chanisaligned),
162
 
163
              .gt_rx_n                 (gt_rx_n),
164
              .gt_rx_p                 (gt_rx_p),
165
              .gt_tx_n                 (gt_tx_n),
166
              .gt_tx_p                 (gt_tx_p),
167
 
168
              .gt_tx_data              (gt_tx_data),
169
              .gt_tx_data_k            (gt_tx_data_k),
170
              .gt_tx_elec_idle         (gt_tx_elec_idle),
171
              .gt_tx_detect_rx_loopback(gt_tx_detect_rx_loopback),
172
              .gt_tx_compliance        (gt_tx_compliance),
173
              .gt_rx_polarity          (gt_rx_polarity),
174
              .gt_power_down           (gt_power_down),
175
              .gt_deskew_lanes         (gt_deskew_lanes),
176
              .gt_pipe_reset           (gt_pipe_reset),
177
              .gt_rx_present           (gt_rx_present),
178
 
179
              .gsr                     (gsr),
180
              .gtreset                 (gtreset),
181
              .refclk                  (refclk),
182
              .refclkout_bufg          (refclkout_bufg),
183
              .gtclk_bufg              (gtclk_bufg),
184
              .plllkdet_out            (plllkdet_out),
185
              .resetdone               (resetdone),
186
              .gt_usrclk               (gt_usrclk2),
187
              .rxbyteisaligned         (rxbyteisaligned),
188
              .rxchanbondseq           (rxchanbondseq),
189
 
190
              .pcie_reset              (pcie_reset),
191
              .clock_lock              (clock_lock),
192
 
193
              // GTP register ports
194
              .gt_dclk                 (gt_dclk),
195
              .gt_daddr                (gt_daddr),
196
              .gt_den                  (gt_den),
197
              .gt_dwen                 (gt_dwen),
198
              .gt_di                   (gt_di),
199
              .gt_do                   (gt_do),
200
              .gt_drdy                 (gt_drdy),
201
 
202
              .gt_txdiffctrl_0         (gt_txdiffctrl_0),
203
              .gt_txdiffctrl_1         (gt_txdiffctrl_1),
204
              .gt_txbuffctrl_0         (gt_txbuffctrl_0),
205
              .gt_txbuffctrl_1         (gt_txbuffctrl_1),
206
              .gt_txpreemphesis_0      (gt_txpreemphesis_0),
207
              .gt_txpreemphesis_1      (gt_txpreemphesis_1)
208
        );
209
 
210
  end else begin
211
 
212
       pcie_gtx_wrapper#
213
        (
214
              .NO_OF_LANES(NO_OF_LANES),
215
              .SIM(SIM),
216
              .PLL_DIVSEL_FB(REF_CLK_FREQ ? 2 : 5),  // REF_CLK_FREQ is 0 for 100 MHz, 1 for 250 MHz
217
              .PLL_DIVSEL_REF(REF_CLK_FREQ ? 1 : 1),
218
              .CLK25_DIVIDER(REF_CLK_FREQ ? 10 : 4),
219
              .TXDIFFBOOST(TXDIFFBOOST),
220
              .GTDEBUGPORTS(GTDEBUGPORTS)
221
        )
222
 
223
        pcie_gt_wrapper_i
224
        (
225
              .gt_rx_elec_idle         (gt_rx_elec_idle),
226
              .gt_rx_status            (gt_rx_status),
227
              .gt_rx_data              (gt_rx_data),
228
              .gt_rx_phy_status        (gt_rx_phy_status),
229
              .gt_rx_data_k            (gt_rx_data_k),
230
              .gt_rx_valid             (gt_rx_valid),
231
              .gt_rx_chanisaligned     (gt_rx_chanisaligned),
232
 
233
              .gt_rx_n                 (gt_rx_n),
234
              .gt_rx_p                 (gt_rx_p),
235
              .gt_tx_n                 (gt_tx_n),
236
              .gt_tx_p                 (gt_tx_p),
237
 
238
              .gt_tx_data              (gt_tx_data),
239
              .gt_tx_data_k            (gt_tx_data_k),
240
              .gt_tx_elec_idle         (gt_tx_elec_idle),
241
              .gt_tx_detect_rx_loopback(gt_tx_detect_rx_loopback),
242
              .gt_tx_compliance        (gt_tx_compliance),
243
              .gt_rx_polarity          (gt_rx_polarity),
244
              .gt_power_down           (gt_power_down),
245
              .gt_deskew_lanes         (gt_deskew_lanes),
246
              .gt_pipe_reset           (gt_pipe_reset),
247
              .gt_rx_present           (gt_rx_present),
248
 
249
              .gsr                     (gsr),
250
              .gtreset                 (gtreset),
251
              .refclk                  (refclk),
252
              .refclkout_bufg          (refclkout_bufg),
253
              .gtclk_bufg              (gtclk_bufg),
254
              .plllkdet_out            (plllkdet_out),
255
              .resetdone               (resetdone),
256
              .gt_usrclk               (gt_usrclk),
257
              .gt_usrclk2              (gt_usrclk2),
258
              .txsync_clk              (txsync_clk),
259
              .rxbyteisaligned         (rxbyteisaligned),
260
              .rxchanbondseq           (rxchanbondseq),
261
 
262
              .pcie_reset              (pcie_reset),
263
              .clock_lock              (clock_lock),
264
              .trn_lnk_up_n            (trn_lnk_up_n),
265
 
266
              // GTP register ports
267
              .gt_dclk                 (gt_dclk),
268
              .gt_daddr                (gt_daddr),
269
              .gt_den                  (gt_den),
270
              .gt_dwen                 (gt_dwen),
271
              .gt_di                   (gt_di),
272
              .gt_do                   (gt_do),
273
              .gt_drdy                 (gt_drdy),
274
 
275
              .gt_txdiffctrl_0         (gt_txdiffctrl_0),
276
              .gt_txdiffctrl_1         (gt_txdiffctrl_1),
277
              .gt_txbuffctrl_0         (gt_txbuffctrl_0),
278
              .gt_txbuffctrl_1         (gt_txbuffctrl_1),
279
              .gt_txpreemphesis_0      (gt_txpreemphesis_0),
280
              .gt_txpreemphesis_1      (gt_txpreemphesis_1)
281
 
282
        );
283
 
284
  end
285
 
286
 
287
 
288
 
289
endgenerate
290
 
291
 
292
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.