OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [ds_dma64/] [pcie_src/] [pcie_core64_m1/] [source_s6/] [gtpa1_dual_wrapper.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
-------------------------------------------------------------------------------
2
--   ____  ____
3
--  /   /\/   /
4
-- /___/  \  /    Vendor: Xilinx
5
-- \   \   \/     Version : 1.7
6
--  \   \         Application : Spartan-6 FPGA GTP Transceiver Wizard
7
--  /   /         Filename : gtpa1_dual_wrapper.vhd
8
-- /___/   /\     Timestamp :
9
-- \   \  /  \
10
--  \___\/\___\
11
--
12
--
13
-- Module GTPA1_DUAL_WRAPPER (a GTP Wrapper)
14
-- Generated by Xilinx Spartan-6 FPGA GTP Transceiver Wizard
15
--
16
--
17
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
18
--
19
-- This file contains confidential and proprietary information
20
-- of Xilinx, Inc. and is protected under U.S. and
21
-- international copyright and other intellectual property
22
-- laws.
23
--
24
-- DISCLAIMER
25
-- This disclaimer is not a license and does not grant any
26
-- rights to the materials distributed herewith. Except as
27
-- otherwise provided in a valid license issued to you by
28
-- Xilinx, and to the maximum extent permitted by applicable
29
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
30
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
31
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
32
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
33
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
34
-- (2) Xilinx shall not be liable (whether in contract or tort,
35
-- including negligence, or under any other theory of
36
-- liability) for any loss or damage of any kind or nature
37
-- related to, arising under or in connection with these
38
-- materials, including for any direct, or any indirect,
39
-- special, incidental, or consequential loss or damage
40
-- (including loss of data, profits, goodwill, or any type of
41
-- loss or damage suffered as a result of any action brought
42
-- by a third party) even if such damage or loss was
43
-- reasonably foreseeable or Xilinx had been advised of the
44
-- possibility of the same.
45
--
46
-- CRITICAL APPLICATIONS
47
-- Xilinx products are not designed or intended to be fail-
48
-- safe, or for use in any application requiring fail-safe
49
-- performance, such as life-support or safety devices or
50
-- systems, Class III medical devices, nuclear facilities,
51
-- applications related to the deployment of airbags, or any
52
-- other applications that could lead to death, personal
53
-- injury, or severe property or environmental damage
54
-- (individually and collectively, "Critical
55
-- Applications"). Customer assumes the sole risk and
56
-- liability of any use of Xilinx products in Critical
57
-- Applications, subject only to applicable laws and
58
-- regulations governing limitations on product liability.
59
--
60
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
61
-- PART OF THIS FILE AT ALL TIMES.
62
 
63
 
64
library ieee;
65
use ieee.std_logic_1164.all;
66
use ieee.numeric_std.all;
67
library UNISIM;
68
use UNISIM.VCOMPONENTS.ALL;
69
 
70
 
71
--***************************** Entity Declaration ****************************
72
 
73
entity GTPA1_DUAL_WRAPPER is
74
generic
75
(
76
    -- Simulation attributes
77
    WRAPPER_SIM_GTPRESET_SPEEDUP    : integer   := 0; -- Set to 1 to speed up sim reset
78
    WRAPPER_CLK25_DIVIDER_0         : integer   := 4;
79
    WRAPPER_CLK25_DIVIDER_1         : integer   := 4;
80
    WRAPPER_PLL_DIVSEL_FB_0         : integer   := 5;
81
    WRAPPER_PLL_DIVSEL_FB_1         : integer   := 5;
82
    WRAPPER_PLL_DIVSEL_REF_0        : integer   := 2;
83
    WRAPPER_PLL_DIVSEL_REF_1        : integer   := 2;
84
    WRAPPER_SIMULATION              : integer   := 0  -- Set to 1 for simulation
85
);
86
port
87
(
88
 
89
    --_________________________________________________________________________
90
    --_________________________________________________________________________
91
    --TILE0  (X0_Y0)
92
 
93
    ------------------------ Loopback and Powerdown Ports ----------------------
94
    TILE0_RXPOWERDOWN0_IN                   : in   std_logic_vector(1 downto 0);
95
    TILE0_RXPOWERDOWN1_IN                   : in   std_logic_vector(1 downto 0);
96
    TILE0_TXPOWERDOWN0_IN                   : in   std_logic_vector(1 downto 0);
97
    TILE0_TXPOWERDOWN1_IN                   : in   std_logic_vector(1 downto 0);
98
    --------------------------------- PLL Ports --------------------------------
99
    TILE0_CLK00_IN                          : in   std_logic;
100
    TILE0_CLK01_IN                          : in   std_logic;
101
    TILE0_GTPRESET0_IN                      : in   std_logic;
102
    TILE0_GTPRESET1_IN                      : in   std_logic;
103
    TILE0_PLLLKDET0_OUT                     : out  std_logic;
104
    TILE0_PLLLKDET1_OUT                     : out  std_logic;
105
    TILE0_RESETDONE0_OUT                    : out  std_logic;
106
    TILE0_RESETDONE1_OUT                    : out  std_logic;
107
    ----------------------- Receive Ports - 8b10b Decoder ----------------------
108
    TILE0_RXCHARISK0_OUT                    : out  std_logic_vector(1 downto 0);
109
    TILE0_RXCHARISK1_OUT                    : out  std_logic_vector(1 downto 0);
110
    TILE0_RXDISPERR0_OUT                    : out  std_logic_vector(1 downto 0);
111
    TILE0_RXDISPERR1_OUT                    : out  std_logic_vector(1 downto 0);
112
    TILE0_RXNOTINTABLE0_OUT                 : out  std_logic_vector(1 downto 0);
113
    TILE0_RXNOTINTABLE1_OUT                 : out  std_logic_vector(1 downto 0);
114
    ---------------------- Receive Ports - Clock Correction --------------------
115
    TILE0_RXCLKCORCNT0_OUT                  : out  std_logic_vector(2 downto 0);
116
    TILE0_RXCLKCORCNT1_OUT                  : out  std_logic_vector(2 downto 0);
117
    --------------- Receive Ports - Comma Detection and Alignment --------------
118
    TILE0_RXENMCOMMAALIGN0_IN               : in   std_logic;
119
    TILE0_RXENMCOMMAALIGN1_IN               : in   std_logic;
120
    TILE0_RXENPCOMMAALIGN0_IN               : in   std_logic;
121
    TILE0_RXENPCOMMAALIGN1_IN               : in   std_logic;
122
    ------------------- Receive Ports - RX Data Path interface -----------------
123
    TILE0_RXDATA0_OUT                       : out  std_logic_vector(15 downto 0);
124
    TILE0_RXDATA1_OUT                       : out  std_logic_vector(15 downto 0);
125
    TILE0_RXRESET0_IN                       : in   std_logic;
126
    TILE0_RXRESET1_IN                       : in   std_logic;
127
    TILE0_RXUSRCLK0_IN                      : in   std_logic;
128
    TILE0_RXUSRCLK1_IN                      : in   std_logic;
129
    TILE0_RXUSRCLK20_IN                     : in   std_logic;
130
    TILE0_RXUSRCLK21_IN                     : in   std_logic;
131
    ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
132
    TILE0_GATERXELECIDLE0_IN                : in   std_logic;
133
    TILE0_GATERXELECIDLE1_IN                : in   std_logic;
134
    TILE0_IGNORESIGDET0_IN                  : in   std_logic;
135
    TILE0_IGNORESIGDET1_IN                  : in   std_logic;
136
    TILE0_RXELECIDLE0_OUT                   : out  std_logic;
137
    TILE0_RXELECIDLE1_OUT                   : out  std_logic;
138
    TILE0_RXN0_IN                           : in   std_logic;
139
    TILE0_RXN1_IN                           : in   std_logic;
140
    TILE0_RXP0_IN                           : in   std_logic;
141
    TILE0_RXP1_IN                           : in   std_logic;
142
    ----------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
143
    TILE0_RXSTATUS0_OUT                     : out  std_logic_vector(2 downto 0);
144
    TILE0_RXSTATUS1_OUT                     : out  std_logic_vector(2 downto 0);
145
    -------------- Receive Ports - RX Pipe Control for PCI Express -------------
146
    TILE0_PHYSTATUS0_OUT                    : out  std_logic;
147
    TILE0_PHYSTATUS1_OUT                    : out  std_logic;
148
    TILE0_RXVALID0_OUT                      : out  std_logic;
149
    TILE0_RXVALID1_OUT                      : out  std_logic;
150
    -------------------- Receive Ports - RX Polarity Control -------------------
151
    TILE0_RXPOLARITY0_IN                    : in   std_logic;
152
    TILE0_RXPOLARITY1_IN                    : in   std_logic;
153
    ---------------------------- TX/RX Datapath Ports --------------------------
154
    TILE0_GTPCLKOUT0_OUT                    : out  std_logic_vector(1 downto 0);
155
    TILE0_GTPCLKOUT1_OUT                    : out  std_logic_vector(1 downto 0);
156
    ------------------- Transmit Ports - 8b10b Encoder Control -----------------
157
    TILE0_TXCHARDISPMODE0_IN                : in   std_logic_vector(1 downto 0);
158
    TILE0_TXCHARDISPMODE1_IN                : in   std_logic_vector(1 downto 0);
159
    TILE0_TXCHARISK0_IN                     : in   std_logic_vector(1 downto 0);
160
    TILE0_TXCHARISK1_IN                     : in   std_logic_vector(1 downto 0);
161
    ------------------ Transmit Ports - TX Data Path interface -----------------
162
    TILE0_TXDATA0_IN                        : in   std_logic_vector(15 downto 0);
163
    TILE0_TXDATA1_IN                        : in   std_logic_vector(15 downto 0);
164
    TILE0_TXUSRCLK0_IN                      : in   std_logic;
165
    TILE0_TXUSRCLK1_IN                      : in   std_logic;
166
    TILE0_TXUSRCLK20_IN                     : in   std_logic;
167
    TILE0_TXUSRCLK21_IN                     : in   std_logic;
168
    --------------- Transmit Ports - TX Driver and OOB signalling --------------
169
    TILE0_TXN0_OUT                          : out  std_logic;
170
    TILE0_TXN1_OUT                          : out  std_logic;
171
    TILE0_TXP0_OUT                          : out  std_logic;
172
    TILE0_TXP1_OUT                          : out  std_logic;
173
    ----------------- Transmit Ports - TX Ports for PCI Express ----------------
174
    TILE0_TXDETECTRX0_IN                    : in   std_logic;
175
    TILE0_TXDETECTRX1_IN                    : in   std_logic;
176
    TILE0_TXELECIDLE0_IN                    : in   std_logic;
177
    TILE0_TXELECIDLE1_IN                    : in   std_logic
178
 
179
 
180
);
181
 
182
 
183
end GTPA1_DUAL_WRAPPER;
184
 
185
architecture RTL of GTPA1_DUAL_WRAPPER is
186
  attribute CORE_GENERATION_INFO           : string;
187
  attribute CORE_GENERATION_INFO of RTL    : architecture is "GTPA1_DUAL_WRAPPER,s6_gtpwizard_v1_4,{gtp0_protocol_file=pcie,gtp1_protocol_file=Use_GTP0_settings}";
188
 
189
--***************************** Signal Declarations *****************************
190
 
191
    -- ground and tied_to_vcc_i signals
192
    signal  tied_to_ground_i                :   std_logic;
193
    signal  tied_to_ground_vec_i            :   std_logic_vector(63 downto 0);
194
    signal  tied_to_vcc_i                   :   std_logic;
195
 
196
    signal  tile0_plllkdet0_i       :   std_logic;
197
    signal  tile0_plllkdet1_i       :   std_logic;
198
 
199
    signal  tile0_plllkdet0_i2       :   std_logic;
200
    signal  tile0_plllkdet1_i2       :   std_logic;
201
 
202
 
203
--*************************** Component Declarations **************************
204
 
205
component GTPA1_DUAL_WRAPPER_TILE
206
generic
207
(
208
    -- Simulation attributes
209
    TILE_SIM_GTPRESET_SPEEDUP    : integer   := 0; -- Set to 1 to speed up sim reset
210
    TILE_CLK25_DIVIDER_0         : integer   := 4;
211
    TILE_CLK25_DIVIDER_1         : integer   := 4;
212
    TILE_PLL_DIVSEL_FB_0         : integer   := 5;
213
    TILE_PLL_DIVSEL_FB_1         : integer   := 5;
214
    TILE_PLL_DIVSEL_REF_0        : integer   := 2;
215
    TILE_PLL_DIVSEL_REF_1        : integer   := 2;
216
    --
217
    TILE_PLL_SOURCE_0            : string    := "PLL0";
218
    TILE_PLL_SOURCE_1            : string    := "PLL1"
219
);
220
port
221
(
222
    ------------------------ Loopback and Powerdown Ports ----------------------
223
    RXPOWERDOWN0_IN                         : in   std_logic_vector(1 downto 0);
224
    RXPOWERDOWN1_IN                         : in   std_logic_vector(1 downto 0);
225
    TXPOWERDOWN0_IN                         : in   std_logic_vector(1 downto 0);
226
    TXPOWERDOWN1_IN                         : in   std_logic_vector(1 downto 0);
227
    --------------------------------- PLL Ports --------------------------------
228
    CLK00_IN                                : in   std_logic;
229
    CLK01_IN                                : in   std_logic;
230
    GTPRESET0_IN                            : in   std_logic;
231
    GTPRESET1_IN                            : in   std_logic;
232
    PLLLKDET0_OUT                           : out  std_logic;
233
    PLLLKDET1_OUT                           : out  std_logic;
234
    RESETDONE0_OUT                          : out  std_logic;
235
    RESETDONE1_OUT                          : out  std_logic;
236
    ----------------------- Receive Ports - 8b10b Decoder ----------------------
237
    RXCHARISK0_OUT                          : out  std_logic_vector(1 downto 0);
238
    RXCHARISK1_OUT                          : out  std_logic_vector(1 downto 0);
239
    RXDISPERR0_OUT                          : out  std_logic_vector(1 downto 0);
240
    RXDISPERR1_OUT                          : out  std_logic_vector(1 downto 0);
241
    RXNOTINTABLE0_OUT                       : out  std_logic_vector(1 downto 0);
242
    RXNOTINTABLE1_OUT                       : out  std_logic_vector(1 downto 0);
243
    ---------------------- Receive Ports - Clock Correction --------------------
244
    RXCLKCORCNT0_OUT                        : out  std_logic_vector(2 downto 0);
245
    RXCLKCORCNT1_OUT                        : out  std_logic_vector(2 downto 0);
246
    --------------- Receive Ports - Comma Detection and Alignment --------------
247
    RXENMCOMMAALIGN0_IN                     : in   std_logic;
248
    RXENMCOMMAALIGN1_IN                     : in   std_logic;
249
    RXENPCOMMAALIGN0_IN                     : in   std_logic;
250
    RXENPCOMMAALIGN1_IN                     : in   std_logic;
251
    ------------------- Receive Ports - RX Data Path interface -----------------
252
    RXDATA0_OUT                             : out  std_logic_vector(15 downto 0);
253
    RXDATA1_OUT                             : out  std_logic_vector(15 downto 0);
254
    RXRESET0_IN                             : in   std_logic;
255
    RXRESET1_IN                             : in   std_logic;
256
    RXUSRCLK0_IN                            : in   std_logic;
257
    RXUSRCLK1_IN                            : in   std_logic;
258
    RXUSRCLK20_IN                           : in   std_logic;
259
    RXUSRCLK21_IN                           : in   std_logic;
260
    ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
261
    GATERXELECIDLE0_IN                      : in   std_logic;
262
    GATERXELECIDLE1_IN                      : in   std_logic;
263
    IGNORESIGDET0_IN                        : in   std_logic;
264
    IGNORESIGDET1_IN                        : in   std_logic;
265
    RXELECIDLE0_OUT                         : out  std_logic;
266
    RXELECIDLE1_OUT                         : out  std_logic;
267
    RXN0_IN                                 : in   std_logic;
268
    RXN1_IN                                 : in   std_logic;
269
    RXP0_IN                                 : in   std_logic;
270
    RXP1_IN                                 : in   std_logic;
271
    ----------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
272
    RXSTATUS0_OUT                           : out  std_logic_vector(2 downto 0);
273
    RXSTATUS1_OUT                           : out  std_logic_vector(2 downto 0);
274
    -------------- Receive Ports - RX Pipe Control for PCI Express -------------
275
    PHYSTATUS0_OUT                          : out  std_logic;
276
    PHYSTATUS1_OUT                          : out  std_logic;
277
    RXVALID0_OUT                            : out  std_logic;
278
    RXVALID1_OUT                            : out  std_logic;
279
    -------------------- Receive Ports - RX Polarity Control -------------------
280
    RXPOLARITY0_IN                          : in   std_logic;
281
    RXPOLARITY1_IN                          : in   std_logic;
282
    ---------------------------- TX/RX Datapath Ports --------------------------
283
    GTPCLKOUT0_OUT                          : out  std_logic_vector(1 downto 0);
284
    GTPCLKOUT1_OUT                          : out  std_logic_vector(1 downto 0);
285
    ------------------- Transmit Ports - 8b10b Encoder Control -----------------
286
    TXCHARDISPMODE0_IN                      : in   std_logic_vector(1 downto 0);
287
    TXCHARDISPMODE1_IN                      : in   std_logic_vector(1 downto 0);
288
    TXCHARISK0_IN                           : in   std_logic_vector(1 downto 0);
289
    TXCHARISK1_IN                           : in   std_logic_vector(1 downto 0);
290
    ------------------ Transmit Ports - TX Data Path interface -----------------
291
    TXDATA0_IN                              : in   std_logic_vector(15 downto 0);
292
    TXDATA1_IN                              : in   std_logic_vector(15 downto 0);
293
    TXUSRCLK0_IN                            : in   std_logic;
294
    TXUSRCLK1_IN                            : in   std_logic;
295
    TXUSRCLK20_IN                           : in   std_logic;
296
    TXUSRCLK21_IN                           : in   std_logic;
297
    --------------- Transmit Ports - TX Driver and OOB signalling --------------
298
    TXN0_OUT                                : out  std_logic;
299
    TXN1_OUT                                : out  std_logic;
300
    TXP0_OUT                                : out  std_logic;
301
    TXP1_OUT                                : out  std_logic;
302
    ----------------- Transmit Ports - TX Ports for PCI Express ----------------
303
    TXDETECTRX0_IN                          : in   std_logic;
304
    TXDETECTRX1_IN                          : in   std_logic;
305
    TXELECIDLE0_IN                          : in   std_logic;
306
    TXELECIDLE1_IN                          : in   std_logic
307
 
308
 
309
);
310
end component;
311
 
312
 
313
--********************************* Main Body of Code**************************
314
 
315
begin
316
 
317
    tied_to_ground_i                    <= '0';
318
    tied_to_ground_vec_i(63 downto 0)   <= (others => '0');
319
    tied_to_vcc_i                       <= '1';
320
 
321
simulation : if WRAPPER_SIMULATION = 1 generate
322
 
323
    TILE0_PLLLKDET0_OUT                     <= tile0_plllkdet0_i2;
324
process
325
    begin
326
        wait until tile0_plllkdet0_i'event;
327
        if(tile0_plllkdet0_i = '1') then
328
           tile0_plllkdet0_i2 <= '1' after 100 ns;
329
        else
330
           tile0_plllkdet0_i2 <= tile0_plllkdet0_i;
331
        end if;
332
    end process;
333
    TILE0_PLLLKDET1_OUT                     <= tile0_plllkdet1_i2;
334
process
335
    begin
336
        wait until tile0_plllkdet1_i'event;
337
        if(tile0_plllkdet1_i = '1') then
338
           tile0_plllkdet1_i2 <= '1' after 100 ns;
339
        else
340
           tile0_plllkdet1_i2 <= tile0_plllkdet1_i;
341
        end if;
342
    end process;
343
 
344
 
345
 
346
end generate simulation;
347
 
348
implementation : if WRAPPER_SIMULATION = 0 generate
349
 
350
    TILE0_PLLLKDET0_OUT                     <= tile0_plllkdet0_i;
351
    TILE0_PLLLKDET1_OUT                     <= tile0_plllkdet1_i;
352
 
353
end generate implementation;
354
 
355
    --------------------------- Tile Instances  -------------------------------
356
 
357
 
358
    --_________________________________________________________________________
359
    --_________________________________________________________________________
360
    --TILE0  (X0_Y0)
361
 
362
    tile0_gtpa1_dual_wrapper_i : GTPA1_DUAL_WRAPPER_TILE
363
    generic map
364
    (
365
        -- Simulation attributes
366
        TILE_SIM_GTPRESET_SPEEDUP    => WRAPPER_SIM_GTPRESET_SPEEDUP,
367
        TILE_CLK25_DIVIDER_0         => WRAPPER_CLK25_DIVIDER_0,
368
        TILE_CLK25_DIVIDER_1         => WRAPPER_CLK25_DIVIDER_1,
369
        TILE_PLL_DIVSEL_FB_0         => WRAPPER_PLL_DIVSEL_FB_0,
370
        TILE_PLL_DIVSEL_FB_1         => WRAPPER_PLL_DIVSEL_FB_1,
371
        TILE_PLL_DIVSEL_REF_0        => WRAPPER_PLL_DIVSEL_REF_0,
372
        TILE_PLL_DIVSEL_REF_1        => WRAPPER_PLL_DIVSEL_REF_1,
373
 
374
        --
375
        TILE_PLL_SOURCE_0            => "PLL0",
376
        TILE_PLL_SOURCE_1            => "PLL1"
377
    )
378
    port map
379
    (
380
        ------------------------ Loopback and Powerdown Ports ----------------------
381
        RXPOWERDOWN0_IN                 =>      TILE0_RXPOWERDOWN0_IN,
382
        RXPOWERDOWN1_IN                 =>      TILE0_RXPOWERDOWN1_IN,
383
        TXPOWERDOWN0_IN                 =>      TILE0_TXPOWERDOWN0_IN,
384
        TXPOWERDOWN1_IN                 =>      TILE0_TXPOWERDOWN1_IN,
385
        --------------------------------- PLL Ports --------------------------------
386
        CLK00_IN                        =>      TILE0_CLK00_IN,
387
        CLK01_IN                        =>      TILE0_CLK01_IN,
388
        GTPRESET0_IN                    =>      TILE0_GTPRESET0_IN,
389
        GTPRESET1_IN                    =>      TILE0_GTPRESET1_IN,
390
        PLLLKDET0_OUT                   =>      tile0_plllkdet0_i,
391
        PLLLKDET1_OUT                   =>      tile0_plllkdet1_i,
392
        RESETDONE0_OUT                  =>      TILE0_RESETDONE0_OUT,
393
        RESETDONE1_OUT                  =>      TILE0_RESETDONE1_OUT,
394
        ----------------------- Receive Ports - 8b10b Decoder ----------------------
395
        RXCHARISK0_OUT                  =>      TILE0_RXCHARISK0_OUT,
396
        RXCHARISK1_OUT                  =>      TILE0_RXCHARISK1_OUT,
397
        RXDISPERR0_OUT                  =>      TILE0_RXDISPERR0_OUT,
398
        RXDISPERR1_OUT                  =>      TILE0_RXDISPERR1_OUT,
399
        RXNOTINTABLE0_OUT               =>      TILE0_RXNOTINTABLE0_OUT,
400
        RXNOTINTABLE1_OUT               =>      TILE0_RXNOTINTABLE1_OUT,
401
        ---------------------- Receive Ports - Clock Correction --------------------
402
        RXCLKCORCNT0_OUT                =>      TILE0_RXCLKCORCNT0_OUT,
403
        RXCLKCORCNT1_OUT                =>      TILE0_RXCLKCORCNT1_OUT,
404
        --------------- Receive Ports - Comma Detection and Alignment --------------
405
        RXENMCOMMAALIGN0_IN             =>      TILE0_RXENMCOMMAALIGN0_IN,
406
        RXENMCOMMAALIGN1_IN             =>      TILE0_RXENMCOMMAALIGN1_IN,
407
        RXENPCOMMAALIGN0_IN             =>      TILE0_RXENPCOMMAALIGN0_IN,
408
        RXENPCOMMAALIGN1_IN             =>      TILE0_RXENPCOMMAALIGN1_IN,
409
        ------------------- Receive Ports - RX Data Path interface -----------------
410
        RXDATA0_OUT                     =>      TILE0_RXDATA0_OUT,
411
        RXDATA1_OUT                     =>      TILE0_RXDATA1_OUT,
412
        RXRESET0_IN                     =>      TILE0_RXRESET0_IN,
413
        RXRESET1_IN                     =>      TILE0_RXRESET1_IN,
414
        RXUSRCLK0_IN                    =>      TILE0_RXUSRCLK0_IN,
415
        RXUSRCLK1_IN                    =>      TILE0_RXUSRCLK1_IN,
416
        RXUSRCLK20_IN                   =>      TILE0_RXUSRCLK20_IN,
417
        RXUSRCLK21_IN                   =>      TILE0_RXUSRCLK21_IN,
418
        ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
419
        GATERXELECIDLE0_IN              =>      TILE0_GATERXELECIDLE0_IN,
420
        GATERXELECIDLE1_IN              =>      TILE0_GATERXELECIDLE1_IN,
421
        IGNORESIGDET0_IN                =>      TILE0_IGNORESIGDET0_IN,
422
        IGNORESIGDET1_IN                =>      TILE0_IGNORESIGDET1_IN,
423
        RXELECIDLE0_OUT                 =>      TILE0_RXELECIDLE0_OUT,
424
        RXELECIDLE1_OUT                 =>      TILE0_RXELECIDLE1_OUT,
425
        RXN0_IN                         =>      TILE0_RXN0_IN,
426
        RXN1_IN                         =>      TILE0_RXN1_IN,
427
        RXP0_IN                         =>      TILE0_RXP0_IN,
428
        RXP1_IN                         =>      TILE0_RXP1_IN,
429
        ----------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
430
        RXSTATUS0_OUT                   =>      TILE0_RXSTATUS0_OUT,
431
        RXSTATUS1_OUT                   =>      TILE0_RXSTATUS1_OUT,
432
        -------------- Receive Ports - RX Pipe Control for PCI Express -------------
433
        PHYSTATUS0_OUT                  =>      TILE0_PHYSTATUS0_OUT,
434
        PHYSTATUS1_OUT                  =>      TILE0_PHYSTATUS1_OUT,
435
        RXVALID0_OUT                    =>      TILE0_RXVALID0_OUT,
436
        RXVALID1_OUT                    =>      TILE0_RXVALID1_OUT,
437
        -------------------- Receive Ports - RX Polarity Control -------------------
438
        RXPOLARITY0_IN                  =>      TILE0_RXPOLARITY0_IN,
439
        RXPOLARITY1_IN                  =>      TILE0_RXPOLARITY1_IN,
440
        ---------------------------- TX/RX Datapath Ports --------------------------
441
        GTPCLKOUT0_OUT                  =>      TILE0_GTPCLKOUT0_OUT,
442
        GTPCLKOUT1_OUT                  =>      TILE0_GTPCLKOUT1_OUT,
443
        ------------------- Transmit Ports - 8b10b Encoder Control -----------------
444
        TXCHARDISPMODE0_IN              =>      TILE0_TXCHARDISPMODE0_IN,
445
        TXCHARDISPMODE1_IN              =>      TILE0_TXCHARDISPMODE1_IN,
446
        TXCHARISK0_IN                   =>      TILE0_TXCHARISK0_IN,
447
        TXCHARISK1_IN                   =>      TILE0_TXCHARISK1_IN,
448
        ------------------ Transmit Ports - TX Data Path interface -----------------
449
        TXDATA0_IN                      =>      TILE0_TXDATA0_IN,
450
        TXDATA1_IN                      =>      TILE0_TXDATA1_IN,
451
        TXUSRCLK0_IN                    =>      TILE0_TXUSRCLK0_IN,
452
        TXUSRCLK1_IN                    =>      TILE0_TXUSRCLK1_IN,
453
        TXUSRCLK20_IN                   =>      TILE0_TXUSRCLK20_IN,
454
        TXUSRCLK21_IN                   =>      TILE0_TXUSRCLK21_IN,
455
        --------------- Transmit Ports - TX Driver and OOB signalling --------------
456
        TXN0_OUT                        =>      TILE0_TXN0_OUT,
457
        TXN1_OUT                        =>      TILE0_TXN1_OUT,
458
        TXP0_OUT                        =>      TILE0_TXP0_OUT,
459
        TXP1_OUT                        =>      TILE0_TXP1_OUT,
460
        ----------------- Transmit Ports - TX Ports for PCI Express ----------------
461
        TXDETECTRX0_IN                  =>      TILE0_TXDETECTRX0_IN,
462
        TXDETECTRX1_IN                  =>      TILE0_TXDETECTRX1_IN,
463
        TXELECIDLE0_IN                  =>      TILE0_TXELECIDLE0_IN,
464
        TXELECIDLE1_IN                  =>      TILE0_TXELECIDLE1_IN
465
 
466
    );
467
 
468
 
469
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.