OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [wishbone/] [cross/] [wb_conmax_top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Connection Matrix Top Level                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_conmax/ ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
15
////                         www.asics.ws                        ////
16
////                         rudi@asics.ws                       ////
17
////                                                             ////
18
//// This source file may be used and distributed without        ////
19
//// restriction provided that this copyright statement is not   ////
20
//// removed from the file and that any derivative work contains ////
21
//// the original copyright notice and the associated disclaimer.////
22
////                                                             ////
23
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
24
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
25
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
26
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
27
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
28
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
29
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
30
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
31
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
32
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
33
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
34
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
35
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
36
////                                                             ////
37
/////////////////////////////////////////////////////////////////////
38
 
39
//  CVS Log
40
//
41
//  $Id: wb_conmax_top.v,v 1.2 2002-10-03 05:40:07 rudi Exp $
42
//
43
//  $Date: 2002-10-03 05:40:07 $
44
//  $Revision: 1.2 $
45
//  $Author: rudi $
46
//  $Locker:  $
47
//  $State: Exp $
48
//
49
// Change History:
50
//               $Log: not supported by cvs2svn $
51
//               Revision 1.1.1.1  2001/10/19 11:01:38  rudi
52
//               WISHBONE CONMAX IP Core
53
//
54
//
55
/////////////////////////////////////////////////////////////////////
56
//
57
//  WB_CONMAX v1.1 (14.10.2011)
58
//  ==> Kuzmi4 addons:
59
//      1) add WB_BTE/WB_CTI cross-logic for "B4"  (WB_SEL cross-logic like reference, was MEGA-JOB)
60
//      2) Memory MAP ==> 4KB granularity (wb_conmax_master_if.v, Select Logic part)
61
//  
62
//  
63
//
64
 
65
//`include "wb_conmax_defines.v"
66
`timescale 1ns / 10ps
67
 
68
module wb_conmax_top(
69
        clk_i, rst_i,
70
 
71
        // Master 0 Interface
72
        m0_data_i, m0_data_o, m0_addr_i, m0_sel_i, m0_we_i, m0_cyc_i,
73
        m0_stb_i, m0_ack_o, m0_err_o, m0_rty_o,
74
    m0_cti_i, m0_bte_i,
75
 
76
        // Master 1 Interface
77
        m1_data_i, m1_data_o, m1_addr_i, m1_sel_i, m1_we_i, m1_cyc_i,
78
        m1_stb_i, m1_ack_o, m1_err_o, m1_rty_o,
79
    m1_cti_i, m1_bte_i,
80
 
81
        // Master 2 Interface
82
        m2_data_i, m2_data_o, m2_addr_i, m2_sel_i, m2_we_i, m2_cyc_i,
83
        m2_stb_i, m2_ack_o, m2_err_o, m2_rty_o,
84
    m2_cti_i, m2_bte_i,
85
 
86
        // Master 3 Interface
87
        m3_data_i, m3_data_o, m3_addr_i, m3_sel_i, m3_we_i, m3_cyc_i,
88
        m3_stb_i, m3_ack_o, m3_err_o, m3_rty_o,
89
    m3_cti_i, m3_bte_i,
90
 
91
        // Master 4 Interface
92
        m4_data_i, m4_data_o, m4_addr_i, m4_sel_i, m4_we_i, m4_cyc_i,
93
        m4_stb_i, m4_ack_o, m4_err_o, m4_rty_o,
94
    m4_cti_i, m4_bte_i,
95
 
96
        // Master 5 Interface
97
        m5_data_i, m5_data_o, m5_addr_i, m5_sel_i, m5_we_i, m5_cyc_i,
98
        m5_stb_i, m5_ack_o, m5_err_o, m5_rty_o,
99
    m5_cti_i, m5_bte_i,
100
 
101
        // Master 6 Interface
102
        m6_data_i, m6_data_o, m6_addr_i, m6_sel_i, m6_we_i, m6_cyc_i,
103
        m6_stb_i, m6_ack_o, m6_err_o, m6_rty_o,
104
    m6_cti_i, m6_bte_i,
105
 
106
        // Master 7 Interface
107
        m7_data_i, m7_data_o, m7_addr_i, m7_sel_i, m7_we_i, m7_cyc_i,
108
        m7_stb_i, m7_ack_o, m7_err_o, m7_rty_o,
109
    m7_cti_i, m7_bte_i,
110
 
111
        // Slave 0 Interface
112
        s0_data_i, s0_data_o, s0_addr_o, s0_sel_o, s0_we_o, s0_cyc_o,
113
        s0_stb_o, s0_ack_i, s0_err_i, s0_rty_i,
114
    s0_cti_o, s0_bte_o,
115
 
116
        // Slave 1 Interface
117
        s1_data_i, s1_data_o, s1_addr_o, s1_sel_o, s1_we_o, s1_cyc_o,
118
        s1_stb_o, s1_ack_i, s1_err_i, s1_rty_i,
119
    s1_cti_o, s1_bte_o,
120
 
121
        // Slave 2 Interface
122
        s2_data_i, s2_data_o, s2_addr_o, s2_sel_o, s2_we_o, s2_cyc_o,
123
        s2_stb_o, s2_ack_i, s2_err_i, s2_rty_i,
124
    s2_cti_o, s2_bte_o,
125
 
126
        // Slave 3 Interface
127
        s3_data_i, s3_data_o, s3_addr_o, s3_sel_o, s3_we_o, s3_cyc_o,
128
        s3_stb_o, s3_ack_i, s3_err_i, s3_rty_i,
129
    s3_cti_o, s3_bte_o,
130
 
131
        // Slave 4 Interface
132
        s4_data_i, s4_data_o, s4_addr_o, s4_sel_o, s4_we_o, s4_cyc_o,
133
        s4_stb_o, s4_ack_i, s4_err_i, s4_rty_i,
134
    s4_cti_o, s4_bte_o,
135
 
136
        // Slave 5 Interface
137
        s5_data_i, s5_data_o, s5_addr_o, s5_sel_o, s5_we_o, s5_cyc_o,
138
        s5_stb_o, s5_ack_i, s5_err_i, s5_rty_i,
139
    s5_cti_o, s5_bte_o,
140
 
141
        // Slave 6 Interface
142
        s6_data_i, s6_data_o, s6_addr_o, s6_sel_o, s6_we_o, s6_cyc_o,
143
        s6_stb_o, s6_ack_i, s6_err_i, s6_rty_i,
144
    s6_cti_o, s6_bte_o,
145
 
146
        // Slave 7 Interface
147
        s7_data_i, s7_data_o, s7_addr_o, s7_sel_o, s7_we_o, s7_cyc_o,
148
        s7_stb_o, s7_ack_i, s7_err_i, s7_rty_i,
149
    s7_cti_o, s7_bte_o,
150
 
151
        // Slave 8 Interface
152
        s8_data_i, s8_data_o, s8_addr_o, s8_sel_o, s8_we_o, s8_cyc_o,
153
        s8_stb_o, s8_ack_i, s8_err_i, s8_rty_i,
154
    s8_cti_o, s8_bte_o,
155
 
156
        // Slave 9 Interface
157
        s9_data_i, s9_data_o, s9_addr_o, s9_sel_o, s9_we_o, s9_cyc_o,
158
        s9_stb_o, s9_ack_i, s9_err_i, s9_rty_i,
159
    s9_cti_o, s9_bte_o,
160
 
161
        // Slave 10 Interface
162
        s10_data_i, s10_data_o, s10_addr_o, s10_sel_o, s10_we_o, s10_cyc_o,
163
        s10_stb_o, s10_ack_i, s10_err_i, s10_rty_i,
164
    s10_cti_o, s10_bte_o,
165
 
166
        // Slave 11 Interface
167
        s11_data_i, s11_data_o, s11_addr_o, s11_sel_o, s11_we_o, s11_cyc_o,
168
        s11_stb_o, s11_ack_i, s11_err_i, s11_rty_i,
169
    s11_cti_o, s11_bte_o,
170
 
171
        // Slave 12 Interface
172
        s12_data_i, s12_data_o, s12_addr_o, s12_sel_o, s12_we_o, s12_cyc_o,
173
        s12_stb_o, s12_ack_i, s12_err_i, s12_rty_i,
174
    s12_cti_o, s12_bte_o,
175
 
176
        // Slave 13 Interface
177
        s13_data_i, s13_data_o, s13_addr_o, s13_sel_o, s13_we_o, s13_cyc_o,
178
        s13_stb_o, s13_ack_i, s13_err_i, s13_rty_i,
179
    s13_cti_o, s13_bte_o,
180
 
181
        // Slave 14 Interface
182
        s14_data_i, s14_data_o, s14_addr_o, s14_sel_o, s14_we_o, s14_cyc_o,
183
        s14_stb_o, s14_ack_i, s14_err_i, s14_rty_i,
184
    s14_cti_o, s14_bte_o,
185
 
186
        // Slave 15 Interface
187
        s15_data_i, s15_data_o, s15_addr_o, s15_sel_o, s15_we_o, s15_cyc_o,
188
        s15_stb_o, s15_ack_i, s15_err_i, s15_rty_i,
189
    s15_cti_o, s15_bte_o
190
        );
191
 
192
////////////////////////////////////////////////////////////////////
193
//
194
// Module Parameters
195
//
196
 
197
parameter               dw       = 0;            // Data bus Width
198
parameter               aw       = 0;            // Address bus Width
199
 
200
parameter       [3:0]    rf_addr  = 4'hf;
201
parameter       [1:0]    pri_sel0 = 2'd2;
202
parameter       [1:0]    pri_sel1 = 2'd2;
203
parameter       [1:0]    pri_sel2 = 2'd2;
204
parameter       [1:0]    pri_sel3 = 2'd2;
205
parameter       [1:0]    pri_sel4 = 2'd2;
206
parameter       [1:0]    pri_sel5 = 2'd2;
207
parameter       [1:0]    pri_sel6 = 2'd2;
208
parameter       [1:0]    pri_sel7 = 2'd2;
209
parameter       [1:0]    pri_sel8 = 2'd2;
210
parameter       [1:0]    pri_sel9 = 2'd2;
211
parameter       [1:0]    pri_sel10 = 2'd2;
212
parameter       [1:0]    pri_sel11 = 2'd2;
213
parameter       [1:0]    pri_sel12 = 2'd2;
214
parameter       [1:0]    pri_sel13 = 2'd2;
215
parameter       [1:0]    pri_sel14 = 2'd2;
216
parameter       [1:0]    pri_sel15 = 2'd2;
217
 
218
parameter               sw = dw / 8;    // Number of Select Lines
219
 
220
////////////////////////////////////////////////////////////////////
221
//
222
// Module IOs
223
//
224
 
225
input           clk_i, rst_i;
226
 
227
// Master 0 Interface
228
input   [dw-1:0] m0_data_i;
229
output  [dw-1:0] m0_data_o;
230
input   [aw-1:0] m0_addr_i;
231
input   [sw-1:0] m0_sel_i;
232
input                   m0_we_i;
233
input                   m0_cyc_i;
234
input                   m0_stb_i;
235
output                  m0_ack_o;
236
output                  m0_err_o;
237
output                  m0_rty_o;
238
input   [2:0]   m0_cti_i;
239
input   [1:0]   m0_bte_i;
240
 
241
// Master 1 Interface
242
input   [dw-1:0] m1_data_i;
243
output  [dw-1:0] m1_data_o;
244
input   [aw-1:0] m1_addr_i;
245
input   [sw-1:0] m1_sel_i;
246
input                   m1_we_i;
247
input                   m1_cyc_i;
248
input                   m1_stb_i;
249
output                  m1_ack_o;
250
output                  m1_err_o;
251
output                  m1_rty_o;
252
input   [2:0]   m1_cti_i;
253
input   [1:0]   m1_bte_i;
254
 
255
// Master 2 Interface
256
input   [dw-1:0] m2_data_i;
257
output  [dw-1:0] m2_data_o;
258
input   [aw-1:0] m2_addr_i;
259
input   [sw-1:0] m2_sel_i;
260
input                   m2_we_i;
261
input                   m2_cyc_i;
262
input                   m2_stb_i;
263
output                  m2_ack_o;
264
output                  m2_err_o;
265
output                  m2_rty_o;
266
input   [2:0]   m2_cti_i;
267
input   [1:0]   m2_bte_i;
268
 
269
// Master 3 Interface
270
input   [dw-1:0] m3_data_i;
271
output  [dw-1:0] m3_data_o;
272
input   [aw-1:0] m3_addr_i;
273
input   [sw-1:0] m3_sel_i;
274
input                   m3_we_i;
275
input                   m3_cyc_i;
276
input                   m3_stb_i;
277
output                  m3_ack_o;
278
output                  m3_err_o;
279
output                  m3_rty_o;
280
input   [2:0]   m3_cti_i;
281
input   [1:0]   m3_bte_i;
282
 
283
// Master 4 Interface
284
input   [dw-1:0] m4_data_i;
285
output  [dw-1:0] m4_data_o;
286
input   [aw-1:0] m4_addr_i;
287
input   [sw-1:0] m4_sel_i;
288
input                   m4_we_i;
289
input                   m4_cyc_i;
290
input                   m4_stb_i;
291
output                  m4_ack_o;
292
output                  m4_err_o;
293
output                  m4_rty_o;
294
input   [2:0]   m4_cti_i;
295
input   [1:0]   m4_bte_i;
296
 
297
// Master 5 Interface
298
input   [dw-1:0] m5_data_i;
299
output  [dw-1:0] m5_data_o;
300
input   [aw-1:0] m5_addr_i;
301
input   [sw-1:0] m5_sel_i;
302
input                   m5_we_i;
303
input                   m5_cyc_i;
304
input                   m5_stb_i;
305
output                  m5_ack_o;
306
output                  m5_err_o;
307
output                  m5_rty_o;
308
input   [2:0]   m5_cti_i;
309
input   [1:0]   m5_bte_i;
310
 
311
// Master 6 Interface
312
input   [dw-1:0] m6_data_i;
313
output  [dw-1:0] m6_data_o;
314
input   [aw-1:0] m6_addr_i;
315
input   [sw-1:0] m6_sel_i;
316
input                   m6_we_i;
317
input                   m6_cyc_i;
318
input                   m6_stb_i;
319
output                  m6_ack_o;
320
output                  m6_err_o;
321
output                  m6_rty_o;
322
input   [2:0]   m6_cti_i;
323
input   [1:0]   m6_bte_i;
324
 
325
// Master 7 Interface
326
input   [dw-1:0] m7_data_i;
327
output  [dw-1:0] m7_data_o;
328
input   [aw-1:0] m7_addr_i;
329
input   [sw-1:0] m7_sel_i;
330
input                   m7_we_i;
331
input                   m7_cyc_i;
332
input                   m7_stb_i;
333
output                  m7_ack_o;
334
output                  m7_err_o;
335
output                  m7_rty_o;
336
input   [2:0]   m7_cti_i;
337
input   [1:0]   m7_bte_i;
338
 
339
// Slave 0 Interface
340
input   [dw-1:0] s0_data_i;
341
output  [dw-1:0] s0_data_o;
342
output  [aw-1:0] s0_addr_o;
343
output  [sw-1:0] s0_sel_o;
344
output                  s0_we_o;
345
output                  s0_cyc_o;
346
output                  s0_stb_o;
347
input                   s0_ack_i;
348
input                   s0_err_i;
349
input                   s0_rty_i;
350
output  [2:0]   s0_cti_o;
351
output  [1:0]   s0_bte_o;
352
 
353
// Slave 1 Interface
354
input   [dw-1:0] s1_data_i;
355
output  [dw-1:0] s1_data_o;
356
output  [aw-1:0] s1_addr_o;
357
output  [sw-1:0] s1_sel_o;
358
output                  s1_we_o;
359
output                  s1_cyc_o;
360
output                  s1_stb_o;
361
input                   s1_ack_i;
362
input                   s1_err_i;
363
input                   s1_rty_i;
364
output  [2:0]   s1_cti_o;
365
output  [1:0]   s1_bte_o;
366
 
367
// Slave 2 Interface
368
input   [dw-1:0] s2_data_i;
369
output  [dw-1:0] s2_data_o;
370
output  [aw-1:0] s2_addr_o;
371
output  [sw-1:0] s2_sel_o;
372
output                  s2_we_o;
373
output                  s2_cyc_o;
374
output                  s2_stb_o;
375
input                   s2_ack_i;
376
input                   s2_err_i;
377
input                   s2_rty_i;
378
output  [2:0]   s2_cti_o;
379
output  [1:0]   s2_bte_o;
380
 
381
// Slave 3 Interface
382
input   [dw-1:0] s3_data_i;
383
output  [dw-1:0] s3_data_o;
384
output  [aw-1:0] s3_addr_o;
385
output  [sw-1:0] s3_sel_o;
386
output                  s3_we_o;
387
output                  s3_cyc_o;
388
output                  s3_stb_o;
389
input                   s3_ack_i;
390
input                   s3_err_i;
391
input                   s3_rty_i;
392
output  [2:0]   s3_cti_o;
393
output  [1:0]   s3_bte_o;
394
 
395
// Slave 4 Interface
396
input   [dw-1:0] s4_data_i;
397
output  [dw-1:0] s4_data_o;
398
output  [aw-1:0] s4_addr_o;
399
output  [sw-1:0] s4_sel_o;
400
output                  s4_we_o;
401
output                  s4_cyc_o;
402
output                  s4_stb_o;
403
input                   s4_ack_i;
404
input                   s4_err_i;
405
input                   s4_rty_i;
406
output  [2:0]   s4_cti_o;
407
output  [1:0]   s4_bte_o;
408
 
409
// Slave 5 Interface
410
input   [dw-1:0] s5_data_i;
411
output  [dw-1:0] s5_data_o;
412
output  [aw-1:0] s5_addr_o;
413
output  [sw-1:0] s5_sel_o;
414
output                  s5_we_o;
415
output                  s5_cyc_o;
416
output                  s5_stb_o;
417
input                   s5_ack_i;
418
input                   s5_err_i;
419
input                   s5_rty_i;
420
output  [2:0]   s5_cti_o;
421
output  [1:0]   s5_bte_o;
422
 
423
// Slave 6 Interface
424
input   [dw-1:0] s6_data_i;
425
output  [dw-1:0] s6_data_o;
426
output  [aw-1:0] s6_addr_o;
427
output  [sw-1:0] s6_sel_o;
428
output                  s6_we_o;
429
output                  s6_cyc_o;
430
output                  s6_stb_o;
431
input                   s6_ack_i;
432
input                   s6_err_i;
433
input                   s6_rty_i;
434
output  [2:0]   s6_cti_o;
435
output  [1:0]   s6_bte_o;
436
 
437
// Slave 7 Interface
438
input   [dw-1:0] s7_data_i;
439
output  [dw-1:0] s7_data_o;
440
output  [aw-1:0] s7_addr_o;
441
output  [sw-1:0] s7_sel_o;
442
output                  s7_we_o;
443
output                  s7_cyc_o;
444
output                  s7_stb_o;
445
input                   s7_ack_i;
446
input                   s7_err_i;
447
input                   s7_rty_i;
448
output  [2:0]   s7_cti_o;
449
output  [1:0]   s7_bte_o;
450
 
451
// Slave 8 Interface
452
input   [dw-1:0] s8_data_i;
453
output  [dw-1:0] s8_data_o;
454
output  [aw-1:0] s8_addr_o;
455
output  [sw-1:0] s8_sel_o;
456
output                  s8_we_o;
457
output                  s8_cyc_o;
458
output                  s8_stb_o;
459
input                   s8_ack_i;
460
input                   s8_err_i;
461
input                   s8_rty_i;
462
output  [2:0]   s8_cti_o;
463
output  [1:0]   s8_bte_o;
464
 
465
// Slave 9 Interface
466
input   [dw-1:0] s9_data_i;
467
output  [dw-1:0] s9_data_o;
468
output  [aw-1:0] s9_addr_o;
469
output  [sw-1:0] s9_sel_o;
470
output                  s9_we_o;
471
output                  s9_cyc_o;
472
output                  s9_stb_o;
473
input                   s9_ack_i;
474
input                   s9_err_i;
475
input                   s9_rty_i;
476
output  [2:0]   s9_cti_o;
477
output  [1:0]   s9_bte_o;
478
 
479
// Slave 10 Interface
480
input   [dw-1:0] s10_data_i;
481
output  [dw-1:0] s10_data_o;
482
output  [aw-1:0] s10_addr_o;
483
output  [sw-1:0] s10_sel_o;
484
output                  s10_we_o;
485
output                  s10_cyc_o;
486
output                  s10_stb_o;
487
input                   s10_ack_i;
488
input                   s10_err_i;
489
input                   s10_rty_i;
490
output  [2:0]   s10_cti_o;
491
output  [1:0]   s10_bte_o;
492
 
493
// Slave 11 Interface
494
input   [dw-1:0] s11_data_i;
495
output  [dw-1:0] s11_data_o;
496
output  [aw-1:0] s11_addr_o;
497
output  [sw-1:0] s11_sel_o;
498
output                  s11_we_o;
499
output                  s11_cyc_o;
500
output                  s11_stb_o;
501
input                   s11_ack_i;
502
input                   s11_err_i;
503
input                   s11_rty_i;
504
output  [2:0]   s11_cti_o;
505
output  [1:0]   s11_bte_o;
506
 
507
// Slave 12 Interface
508
input   [dw-1:0] s12_data_i;
509
output  [dw-1:0] s12_data_o;
510
output  [aw-1:0] s12_addr_o;
511
output  [sw-1:0] s12_sel_o;
512
output                  s12_we_o;
513
output                  s12_cyc_o;
514
output                  s12_stb_o;
515
input                   s12_ack_i;
516
input                   s12_err_i;
517
input                   s12_rty_i;
518
output  [2:0]   s12_cti_o;
519
output  [1:0]   s12_bte_o;
520
 
521
// Slave 13 Interface
522
input   [dw-1:0] s13_data_i;
523
output  [dw-1:0] s13_data_o;
524
output  [aw-1:0] s13_addr_o;
525
output  [sw-1:0] s13_sel_o;
526
output                  s13_we_o;
527
output                  s13_cyc_o;
528
output                  s13_stb_o;
529
input                   s13_ack_i;
530
input                   s13_err_i;
531
input                   s13_rty_i;
532
output  [2:0]   s13_cti_o;
533
output  [1:0]   s13_bte_o;
534
 
535
// Slave 14 Interface
536
input   [dw-1:0] s14_data_i;
537
output  [dw-1:0] s14_data_o;
538
output  [aw-1:0] s14_addr_o;
539
output  [sw-1:0] s14_sel_o;
540
output                  s14_we_o;
541
output                  s14_cyc_o;
542
output                  s14_stb_o;
543
input                   s14_ack_i;
544
input                   s14_err_i;
545
input                   s14_rty_i;
546
output  [2:0]   s14_cti_o;
547
output  [1:0]   s14_bte_o;
548
 
549
// Slave 15 Interface
550
input   [dw-1:0] s15_data_i;
551
output  [dw-1:0] s15_data_o;
552
output  [aw-1:0] s15_addr_o;
553
output  [sw-1:0] s15_sel_o;
554
output                  s15_we_o;
555
output                  s15_cyc_o;
556
output                  s15_stb_o;
557
input                   s15_ack_i;
558
input                   s15_err_i;
559
input                   s15_rty_i;
560
output  [2:0]   s15_cti_o;
561
output  [1:0]   s15_bte_o;
562
 
563
////////////////////////////////////////////////////////////////////
564
//
565
// Local wires
566
//
567
 
568
wire    [dw-1:0] i_s15_data_i;
569
wire    [dw-1:0] i_s15_data_o;
570
wire    [aw-1:0] i_s15_addr_o;
571
wire    [sw-1:0] i_s15_sel_o;
572
wire                    i_s15_we_o;
573
wire                    i_s15_cyc_o;
574
wire                    i_s15_stb_o;
575
wire                    i_s15_ack_i;
576
wire                    i_s15_err_i;
577
wire                    i_s15_rty_i;
578
wire    [2:0]   i_s15_cti_o;
579
wire    [1:0]   i_s15_bte_o;
580
 
581
wire    [dw-1:0] m0s0_data_i;
582
wire    [dw-1:0] m0s0_data_o;
583
wire    [aw-1:0] m0s0_addr;
584
wire    [sw-1:0] m0s0_sel;
585
wire                    m0s0_we;
586
wire                    m0s0_cyc;
587
wire                    m0s0_stb;
588
wire                    m0s0_ack;
589
wire                    m0s0_err;
590
wire                    m0s0_rty;
591
wire    [2:0]   m0s0_cti;
592
wire    [1:0]   m0s0_bte;
593
wire    [dw-1:0] m0s1_data_i;
594
wire    [dw-1:0] m0s1_data_o;
595
wire    [aw-1:0] m0s1_addr;
596
wire    [sw-1:0] m0s1_sel;
597
wire                    m0s1_we;
598
wire                    m0s1_cyc;
599
wire                    m0s1_stb;
600
wire                    m0s1_ack;
601
wire                    m0s1_err;
602
wire                    m0s1_rty;
603
wire    [2:0]   m0s1_cti;
604
wire    [1:0]   m0s1_bte;
605
wire    [dw-1:0] m0s2_data_i;
606
wire    [dw-1:0] m0s2_data_o;
607
wire    [aw-1:0] m0s2_addr;
608
wire    [sw-1:0] m0s2_sel;
609
wire                    m0s2_we;
610
wire                    m0s2_cyc;
611
wire                    m0s2_stb;
612
wire                    m0s2_ack;
613
wire                    m0s2_err;
614
wire                    m0s2_rty;
615
wire    [2:0]   m0s2_cti;
616
wire    [1:0]   m0s2_bte;
617
wire    [dw-1:0] m0s3_data_i;
618
wire    [dw-1:0] m0s3_data_o;
619
wire    [aw-1:0] m0s3_addr;
620
wire    [sw-1:0] m0s3_sel;
621
wire                    m0s3_we;
622
wire                    m0s3_cyc;
623
wire                    m0s3_stb;
624
wire                    m0s3_ack;
625
wire                    m0s3_err;
626
wire                    m0s3_rty;
627
wire    [2:0]   m0s3_cti;
628
wire    [1:0]   m0s3_bte;
629
wire    [dw-1:0] m0s4_data_i;
630
wire    [dw-1:0] m0s4_data_o;
631
wire    [aw-1:0] m0s4_addr;
632
wire    [sw-1:0] m0s4_sel;
633
wire                    m0s4_we;
634
wire                    m0s4_cyc;
635
wire                    m0s4_stb;
636
wire                    m0s4_ack;
637
wire                    m0s4_err;
638
wire                    m0s4_rty;
639
wire    [2:0]   m0s4_cti;
640
wire    [1:0]   m0s4_bte;
641
wire    [dw-1:0] m0s5_data_i;
642
wire    [dw-1:0] m0s5_data_o;
643
wire    [aw-1:0] m0s5_addr;
644
wire    [sw-1:0] m0s5_sel;
645
wire                    m0s5_we;
646
wire                    m0s5_cyc;
647
wire                    m0s5_stb;
648
wire                    m0s5_ack;
649
wire                    m0s5_err;
650
wire                    m0s5_rty;
651
wire    [2:0]   m0s5_cti;
652
wire    [1:0]   m0s5_bte;
653
wire    [dw-1:0] m0s6_data_i;
654
wire    [dw-1:0] m0s6_data_o;
655
wire    [aw-1:0] m0s6_addr;
656
wire    [sw-1:0] m0s6_sel;
657
wire                    m0s6_we;
658
wire                    m0s6_cyc;
659
wire                    m0s6_stb;
660
wire                    m0s6_ack;
661
wire                    m0s6_err;
662
wire                    m0s6_rty;
663
wire    [2:0]   m0s6_cti;
664
wire    [1:0]   m0s6_bte;
665
wire    [dw-1:0] m0s7_data_i;
666
wire    [dw-1:0] m0s7_data_o;
667
wire    [aw-1:0] m0s7_addr;
668
wire    [sw-1:0] m0s7_sel;
669
wire                    m0s7_we;
670
wire                    m0s7_cyc;
671
wire                    m0s7_stb;
672
wire                    m0s7_ack;
673
wire                    m0s7_err;
674
wire                    m0s7_rty;
675
wire    [2:0]   m0s7_cti;
676
wire    [1:0]   m0s7_bte;
677
wire    [dw-1:0] m0s8_data_i;
678
wire    [dw-1:0] m0s8_data_o;
679
wire    [aw-1:0] m0s8_addr;
680
wire    [sw-1:0] m0s8_sel;
681
wire                    m0s8_we;
682
wire                    m0s8_cyc;
683
wire                    m0s8_stb;
684
wire                    m0s8_ack;
685
wire                    m0s8_err;
686
wire                    m0s8_rty;
687
wire    [2:0]   m0s8_cti;
688
wire    [1:0]   m0s8_bte;
689
wire    [dw-1:0] m0s9_data_i;
690
wire    [dw-1:0] m0s9_data_o;
691
wire    [aw-1:0] m0s9_addr;
692
wire    [sw-1:0] m0s9_sel;
693
wire                    m0s9_we;
694
wire                    m0s9_cyc;
695
wire                    m0s9_stb;
696
wire                    m0s9_ack;
697
wire                    m0s9_err;
698
wire                    m0s9_rty;
699
wire    [2:0]   m0s9_cti;
700
wire    [1:0]   m0s9_bte;
701
wire    [dw-1:0] m0s10_data_i;
702
wire    [dw-1:0] m0s10_data_o;
703
wire    [aw-1:0] m0s10_addr;
704
wire    [sw-1:0] m0s10_sel;
705
wire                    m0s10_we;
706
wire                    m0s10_cyc;
707
wire                    m0s10_stb;
708
wire                    m0s10_ack;
709
wire                    m0s10_err;
710
wire                    m0s10_rty;
711
wire    [2:0]   m0s10_cti;
712
wire    [1:0]   m0s10_bte;
713
wire    [dw-1:0] m0s11_data_i;
714
wire    [dw-1:0] m0s11_data_o;
715
wire    [aw-1:0] m0s11_addr;
716
wire    [sw-1:0] m0s11_sel;
717
wire                    m0s11_we;
718
wire                    m0s11_cyc;
719
wire                    m0s11_stb;
720
wire                    m0s11_ack;
721
wire                    m0s11_err;
722
wire                    m0s11_rty;
723
wire    [2:0]   m0s11_cti;
724
wire    [1:0]   m0s11_bte;
725
wire    [dw-1:0] m0s12_data_i;
726
wire    [dw-1:0] m0s12_data_o;
727
wire    [aw-1:0] m0s12_addr;
728
wire    [sw-1:0] m0s12_sel;
729
wire                    m0s12_we;
730
wire                    m0s12_cyc;
731
wire                    m0s12_stb;
732
wire                    m0s12_ack;
733
wire                    m0s12_err;
734
wire                    m0s12_rty;
735
wire    [2:0]   m0s12_cti;
736
wire    [1:0]   m0s12_bte;
737
wire    [dw-1:0] m0s13_data_i;
738
wire    [dw-1:0] m0s13_data_o;
739
wire    [aw-1:0] m0s13_addr;
740
wire    [sw-1:0] m0s13_sel;
741
wire                    m0s13_we;
742
wire                    m0s13_cyc;
743
wire                    m0s13_stb;
744
wire                    m0s13_ack;
745
wire                    m0s13_err;
746
wire                    m0s13_rty;
747
wire    [2:0]   m0s13_cti;
748
wire    [1:0]   m0s13_bte;
749
wire    [dw-1:0] m0s14_data_i;
750
wire    [dw-1:0] m0s14_data_o;
751
wire    [aw-1:0] m0s14_addr;
752
wire    [sw-1:0] m0s14_sel;
753
wire                    m0s14_we;
754
wire                    m0s14_cyc;
755
wire                    m0s14_stb;
756
wire                    m0s14_ack;
757
wire                    m0s14_err;
758
wire                    m0s14_rty;
759
wire    [2:0]   m0s14_cti;
760
wire    [1:0]   m0s14_bte;
761
wire    [dw-1:0] m0s15_data_i;
762
wire    [dw-1:0] m0s15_data_o;
763
wire    [aw-1:0] m0s15_addr;
764
wire    [sw-1:0] m0s15_sel;
765
wire                    m0s15_we;
766
wire                    m0s15_cyc;
767
wire                    m0s15_stb;
768
wire                    m0s15_ack;
769
wire                    m0s15_err;
770
wire                    m0s15_rty;
771
wire    [2:0]   m0s15_cti;
772
wire    [1:0]   m0s15_bte;
773
wire    [dw-1:0] m1s0_data_i;
774
wire    [dw-1:0] m1s0_data_o;
775
wire    [aw-1:0] m1s0_addr;
776
wire    [sw-1:0] m1s0_sel;
777
wire                    m1s0_we;
778
wire                    m1s0_cyc;
779
wire                    m1s0_stb;
780
wire                    m1s0_ack;
781
wire                    m1s0_err;
782
wire                    m1s0_rty;
783
wire    [2:0]   m1s0_cti;
784
wire    [1:0]   m1s0_bte;
785
wire    [dw-1:0] m1s1_data_i;
786
wire    [dw-1:0] m1s1_data_o;
787
wire    [aw-1:0] m1s1_addr;
788
wire    [sw-1:0] m1s1_sel;
789
wire                    m1s1_we;
790
wire                    m1s1_cyc;
791
wire                    m1s1_stb;
792
wire                    m1s1_ack;
793
wire                    m1s1_err;
794
wire                    m1s1_rty;
795
wire    [2:0]   m1s1_cti;
796
wire    [1:0]   m1s1_bte;
797
wire    [dw-1:0] m1s2_data_i;
798
wire    [dw-1:0] m1s2_data_o;
799
wire    [aw-1:0] m1s2_addr;
800
wire    [sw-1:0] m1s2_sel;
801
wire                    m1s2_we;
802
wire                    m1s2_cyc;
803
wire                    m1s2_stb;
804
wire                    m1s2_ack;
805
wire                    m1s2_err;
806
wire                    m1s2_rty;
807
wire    [2:0]   m1s2_cti;
808
wire    [1:0]   m1s2_bte;
809
wire    [dw-1:0] m1s3_data_i;
810
wire    [dw-1:0] m1s3_data_o;
811
wire    [aw-1:0] m1s3_addr;
812
wire    [sw-1:0] m1s3_sel;
813
wire                    m1s3_we;
814
wire                    m1s3_cyc;
815
wire                    m1s3_stb;
816
wire                    m1s3_ack;
817
wire                    m1s3_err;
818
wire                    m1s3_rty;
819
wire    [2:0]   m1s3_cti;
820
wire    [1:0]   m1s3_bte;
821
wire    [dw-1:0] m1s4_data_i;
822
wire    [dw-1:0] m1s4_data_o;
823
wire    [aw-1:0] m1s4_addr;
824
wire    [sw-1:0] m1s4_sel;
825
wire                    m1s4_we;
826
wire                    m1s4_cyc;
827
wire                    m1s4_stb;
828
wire                    m1s4_ack;
829
wire                    m1s4_err;
830
wire                    m1s4_rty;
831
wire    [2:0]   m1s4_cti;
832
wire    [1:0]   m1s4_bte;
833
wire    [dw-1:0] m1s5_data_i;
834
wire    [dw-1:0] m1s5_data_o;
835
wire    [aw-1:0] m1s5_addr;
836
wire    [sw-1:0] m1s5_sel;
837
wire                    m1s5_we;
838
wire                    m1s5_cyc;
839
wire                    m1s5_stb;
840
wire                    m1s5_ack;
841
wire                    m1s5_err;
842
wire                    m1s5_rty;
843
wire    [2:0]   m1s5_cti;
844
wire    [1:0]   m1s5_bte;
845
wire    [dw-1:0] m1s6_data_i;
846
wire    [dw-1:0] m1s6_data_o;
847
wire    [aw-1:0] m1s6_addr;
848
wire    [sw-1:0] m1s6_sel;
849
wire                    m1s6_we;
850
wire                    m1s6_cyc;
851
wire                    m1s6_stb;
852
wire                    m1s6_ack;
853
wire                    m1s6_err;
854
wire                    m1s6_rty;
855
wire    [2:0]   m1s6_cti;
856
wire    [1:0]   m1s6_bte;
857
wire    [dw-1:0] m1s7_data_i;
858
wire    [dw-1:0] m1s7_data_o;
859
wire    [aw-1:0] m1s7_addr;
860
wire    [sw-1:0] m1s7_sel;
861
wire                    m1s7_we;
862
wire                    m1s7_cyc;
863
wire                    m1s7_stb;
864
wire                    m1s7_ack;
865
wire                    m1s7_err;
866
wire                    m1s7_rty;
867
wire    [2:0]   m1s7_cti;
868
wire    [1:0]   m1s7_bte;
869
wire    [dw-1:0] m1s8_data_i;
870
wire    [dw-1:0] m1s8_data_o;
871
wire    [aw-1:0] m1s8_addr;
872
wire    [sw-1:0] m1s8_sel;
873
wire                    m1s8_we;
874
wire                    m1s8_cyc;
875
wire                    m1s8_stb;
876
wire                    m1s8_ack;
877
wire                    m1s8_err;
878
wire                    m1s8_rty;
879
wire    [2:0]   m1s8_cti;
880
wire    [1:0]   m1s8_bte;
881
wire    [dw-1:0] m1s9_data_i;
882
wire    [dw-1:0] m1s9_data_o;
883
wire    [aw-1:0] m1s9_addr;
884
wire    [sw-1:0] m1s9_sel;
885
wire                    m1s9_we;
886
wire                    m1s9_cyc;
887
wire                    m1s9_stb;
888
wire                    m1s9_ack;
889
wire                    m1s9_err;
890
wire                    m1s9_rty;
891
wire    [2:0]   m1s9_cti;
892
wire    [1:0]   m1s9_bte;
893
wire    [dw-1:0] m1s10_data_i;
894
wire    [dw-1:0] m1s10_data_o;
895
wire    [aw-1:0] m1s10_addr;
896
wire    [sw-1:0] m1s10_sel;
897
wire                    m1s10_we;
898
wire                    m1s10_cyc;
899
wire                    m1s10_stb;
900
wire                    m1s10_ack;
901
wire                    m1s10_err;
902
wire                    m1s10_rty;
903
wire    [2:0]   m1s10_cti;
904
wire    [1:0]   m1s10_bte;
905
wire    [dw-1:0] m1s11_data_i;
906
wire    [dw-1:0] m1s11_data_o;
907
wire    [aw-1:0] m1s11_addr;
908
wire    [sw-1:0] m1s11_sel;
909
wire                    m1s11_we;
910
wire                    m1s11_cyc;
911
wire                    m1s11_stb;
912
wire                    m1s11_ack;
913
wire                    m1s11_err;
914
wire                    m1s11_rty;
915
wire    [2:0]   m1s11_cti;
916
wire    [1:0]   m1s11_bte;
917
wire    [dw-1:0] m1s12_data_i;
918
wire    [dw-1:0] m1s12_data_o;
919
wire    [aw-1:0] m1s12_addr;
920
wire    [sw-1:0] m1s12_sel;
921
wire                    m1s12_we;
922
wire                    m1s12_cyc;
923
wire                    m1s12_stb;
924
wire                    m1s12_ack;
925
wire                    m1s12_err;
926
wire                    m1s12_rty;
927
wire    [2:0]   m1s12_cti;
928
wire    [1:0]   m1s12_bte;
929
wire    [dw-1:0] m1s13_data_i;
930
wire    [dw-1:0] m1s13_data_o;
931
wire    [aw-1:0] m1s13_addr;
932
wire    [sw-1:0] m1s13_sel;
933
wire                    m1s13_we;
934
wire                    m1s13_cyc;
935
wire                    m1s13_stb;
936
wire                    m1s13_ack;
937
wire                    m1s13_err;
938
wire                    m1s13_rty;
939
wire    [2:0]   m1s13_cti;
940
wire    [1:0]   m1s13_bte;
941
wire    [dw-1:0] m1s14_data_i;
942
wire    [dw-1:0] m1s14_data_o;
943
wire    [aw-1:0] m1s14_addr;
944
wire    [sw-1:0] m1s14_sel;
945
wire                    m1s14_we;
946
wire                    m1s14_cyc;
947
wire                    m1s14_stb;
948
wire                    m1s14_ack;
949
wire                    m1s14_err;
950
wire                    m1s14_rty;
951
wire    [2:0]   m1s14_cti;
952
wire    [1:0]   m1s14_bte;
953
wire    [dw-1:0] m1s15_data_i;
954
wire    [dw-1:0] m1s15_data_o;
955
wire    [aw-1:0] m1s15_addr;
956
wire    [sw-1:0] m1s15_sel;
957
wire                    m1s15_we;
958
wire                    m1s15_cyc;
959
wire                    m1s15_stb;
960
wire                    m1s15_ack;
961
wire                    m1s15_err;
962
wire                    m1s15_rty;
963
wire    [2:0]   m1s15_cti;
964
wire    [1:0]   m1s15_bte;
965
wire    [dw-1:0] m2s0_data_i;
966
wire    [dw-1:0] m2s0_data_o;
967
wire    [aw-1:0] m2s0_addr;
968
wire    [sw-1:0] m2s0_sel;
969
wire                    m2s0_we;
970
wire                    m2s0_cyc;
971
wire                    m2s0_stb;
972
wire                    m2s0_ack;
973
wire                    m2s0_err;
974
wire                    m2s0_rty;
975
wire    [2:0]   m2s0_cti;
976
wire    [1:0]   m2s0_bte;
977
wire    [dw-1:0] m2s1_data_i;
978
wire    [dw-1:0] m2s1_data_o;
979
wire    [aw-1:0] m2s1_addr;
980
wire    [sw-1:0] m2s1_sel;
981
wire                    m2s1_we;
982
wire                    m2s1_cyc;
983
wire                    m2s1_stb;
984
wire                    m2s1_ack;
985
wire                    m2s1_err;
986
wire                    m2s1_rty;
987
wire    [2:0]   m2s1_cti;
988
wire    [1:0]   m2s1_bte;
989
wire    [dw-1:0] m2s2_data_i;
990
wire    [dw-1:0] m2s2_data_o;
991
wire    [aw-1:0] m2s2_addr;
992
wire    [sw-1:0] m2s2_sel;
993
wire                    m2s2_we;
994
wire                    m2s2_cyc;
995
wire                    m2s2_stb;
996
wire                    m2s2_ack;
997
wire                    m2s2_err;
998
wire                    m2s2_rty;
999
wire    [2:0]   m2s2_cti;
1000
wire    [1:0]   m2s2_bte;
1001
wire    [dw-1:0] m2s3_data_i;
1002
wire    [dw-1:0] m2s3_data_o;
1003
wire    [aw-1:0] m2s3_addr;
1004
wire    [sw-1:0] m2s3_sel;
1005
wire                    m2s3_we;
1006
wire                    m2s3_cyc;
1007
wire                    m2s3_stb;
1008
wire                    m2s3_ack;
1009
wire                    m2s3_err;
1010
wire                    m2s3_rty;
1011
wire    [2:0]   m2s3_cti;
1012
wire    [1:0]   m2s3_bte;
1013
wire    [dw-1:0] m2s4_data_i;
1014
wire    [dw-1:0] m2s4_data_o;
1015
wire    [aw-1:0] m2s4_addr;
1016
wire    [sw-1:0] m2s4_sel;
1017
wire                    m2s4_we;
1018
wire                    m2s4_cyc;
1019
wire                    m2s4_stb;
1020
wire                    m2s4_ack;
1021
wire                    m2s4_err;
1022
wire                    m2s4_rty;
1023
wire    [2:0]   m2s4_cti;
1024
wire    [1:0]   m2s4_bte;
1025
wire    [dw-1:0] m2s5_data_i;
1026
wire    [dw-1:0] m2s5_data_o;
1027
wire    [aw-1:0] m2s5_addr;
1028
wire    [sw-1:0] m2s5_sel;
1029
wire                    m2s5_we;
1030
wire                    m2s5_cyc;
1031
wire                    m2s5_stb;
1032
wire                    m2s5_ack;
1033
wire                    m2s5_err;
1034
wire                    m2s5_rty;
1035
wire    [2:0]   m2s5_cti;
1036
wire    [1:0]   m2s5_bte;
1037
wire    [dw-1:0] m2s6_data_i;
1038
wire    [dw-1:0] m2s6_data_o;
1039
wire    [aw-1:0] m2s6_addr;
1040
wire    [sw-1:0] m2s6_sel;
1041
wire                    m2s6_we;
1042
wire                    m2s6_cyc;
1043
wire                    m2s6_stb;
1044
wire                    m2s6_ack;
1045
wire                    m2s6_err;
1046
wire                    m2s6_rty;
1047
wire    [2:0]   m2s6_cti;
1048
wire    [1:0]   m2s6_bte;
1049
wire    [dw-1:0] m2s7_data_i;
1050
wire    [dw-1:0] m2s7_data_o;
1051
wire    [aw-1:0] m2s7_addr;
1052
wire    [sw-1:0] m2s7_sel;
1053
wire                    m2s7_we;
1054
wire                    m2s7_cyc;
1055
wire                    m2s7_stb;
1056
wire                    m2s7_ack;
1057
wire                    m2s7_err;
1058
wire                    m2s7_rty;
1059
wire    [2:0]   m2s7_cti;
1060
wire    [1:0]   m2s7_bte;
1061
wire    [dw-1:0] m2s8_data_i;
1062
wire    [dw-1:0] m2s8_data_o;
1063
wire    [aw-1:0] m2s8_addr;
1064
wire    [sw-1:0] m2s8_sel;
1065
wire                    m2s8_we;
1066
wire                    m2s8_cyc;
1067
wire                    m2s8_stb;
1068
wire                    m2s8_ack;
1069
wire                    m2s8_err;
1070
wire                    m2s8_rty;
1071
wire    [2:0]   m2s8_cti;
1072
wire    [1:0]   m2s8_bte;
1073
wire    [dw-1:0] m2s9_data_i;
1074
wire    [dw-1:0] m2s9_data_o;
1075
wire    [aw-1:0] m2s9_addr;
1076
wire    [sw-1:0] m2s9_sel;
1077
wire                    m2s9_we;
1078
wire                    m2s9_cyc;
1079
wire                    m2s9_stb;
1080
wire                    m2s9_ack;
1081
wire                    m2s9_err;
1082
wire                    m2s9_rty;
1083
wire    [2:0]   m2s9_cti;
1084
wire    [1:0]   m2s9_bte;
1085
wire    [dw-1:0] m2s10_data_i;
1086
wire    [dw-1:0] m2s10_data_o;
1087
wire    [aw-1:0] m2s10_addr;
1088
wire    [sw-1:0] m2s10_sel;
1089
wire                    m2s10_we;
1090
wire                    m2s10_cyc;
1091
wire                    m2s10_stb;
1092
wire                    m2s10_ack;
1093
wire                    m2s10_err;
1094
wire                    m2s10_rty;
1095
wire    [2:0]   m2s10_cti;
1096
wire    [1:0]   m2s10_bte;
1097
wire    [dw-1:0] m2s11_data_i;
1098
wire    [dw-1:0] m2s11_data_o;
1099
wire    [aw-1:0] m2s11_addr;
1100
wire    [sw-1:0] m2s11_sel;
1101
wire                    m2s11_we;
1102
wire                    m2s11_cyc;
1103
wire                    m2s11_stb;
1104
wire                    m2s11_ack;
1105
wire                    m2s11_err;
1106
wire                    m2s11_rty;
1107
wire    [2:0]   m2s11_cti;
1108
wire    [1:0]   m2s11_bte;
1109
wire    [dw-1:0] m2s12_data_i;
1110
wire    [dw-1:0] m2s12_data_o;
1111
wire    [aw-1:0] m2s12_addr;
1112
wire    [sw-1:0] m2s12_sel;
1113
wire                    m2s12_we;
1114
wire                    m2s12_cyc;
1115
wire                    m2s12_stb;
1116
wire                    m2s12_ack;
1117
wire                    m2s12_err;
1118
wire                    m2s12_rty;
1119
wire    [2:0]   m2s12_cti;
1120
wire    [1:0]   m2s12_bte;
1121
wire    [dw-1:0] m2s13_data_i;
1122
wire    [dw-1:0] m2s13_data_o;
1123
wire    [aw-1:0] m2s13_addr;
1124
wire    [sw-1:0] m2s13_sel;
1125
wire                    m2s13_we;
1126
wire                    m2s13_cyc;
1127
wire                    m2s13_stb;
1128
wire                    m2s13_ack;
1129
wire                    m2s13_err;
1130
wire                    m2s13_rty;
1131
wire    [2:0]   m2s13_cti;
1132
wire    [1:0]   m2s13_bte;
1133
wire    [dw-1:0] m2s14_data_i;
1134
wire    [dw-1:0] m2s14_data_o;
1135
wire    [aw-1:0] m2s14_addr;
1136
wire    [sw-1:0] m2s14_sel;
1137
wire                    m2s14_we;
1138
wire                    m2s14_cyc;
1139
wire                    m2s14_stb;
1140
wire                    m2s14_ack;
1141
wire                    m2s14_err;
1142
wire                    m2s14_rty;
1143
wire    [2:0]   m2s14_cti;
1144
wire    [1:0]   m2s14_bte;
1145
wire    [dw-1:0] m2s15_data_i;
1146
wire    [dw-1:0] m2s15_data_o;
1147
wire    [aw-1:0] m2s15_addr;
1148
wire    [sw-1:0] m2s15_sel;
1149
wire                    m2s15_we;
1150
wire                    m2s15_cyc;
1151
wire                    m2s15_stb;
1152
wire                    m2s15_ack;
1153
wire                    m2s15_err;
1154
wire                    m2s15_rty;
1155
wire    [2:0]   m2s15_cti;
1156
wire    [1:0]   m2s15_bte;
1157
wire    [dw-1:0] m3s0_data_i;
1158
wire    [dw-1:0] m3s0_data_o;
1159
wire    [aw-1:0] m3s0_addr;
1160
wire    [sw-1:0] m3s0_sel;
1161
wire                    m3s0_we;
1162
wire                    m3s0_cyc;
1163
wire                    m3s0_stb;
1164
wire                    m3s0_ack;
1165
wire                    m3s0_err;
1166
wire                    m3s0_rty;
1167
wire    [2:0]   m3s0_cti;
1168
wire    [1:0]   m3s0_bte;
1169
wire    [dw-1:0] m3s1_data_i;
1170
wire    [dw-1:0] m3s1_data_o;
1171
wire    [aw-1:0] m3s1_addr;
1172
wire    [sw-1:0] m3s1_sel;
1173
wire                    m3s1_we;
1174
wire                    m3s1_cyc;
1175
wire                    m3s1_stb;
1176
wire                    m3s1_ack;
1177
wire                    m3s1_err;
1178
wire                    m3s1_rty;
1179
wire    [2:0]   m3s1_cti;
1180
wire    [1:0]   m3s1_bte;
1181
wire    [dw-1:0] m3s2_data_i;
1182
wire    [dw-1:0] m3s2_data_o;
1183
wire    [aw-1:0] m3s2_addr;
1184
wire    [sw-1:0] m3s2_sel;
1185
wire                    m3s2_we;
1186
wire                    m3s2_cyc;
1187
wire                    m3s2_stb;
1188
wire                    m3s2_ack;
1189
wire                    m3s2_err;
1190
wire                    m3s2_rty;
1191
wire    [2:0]   m3s2_cti;
1192
wire    [1:0]   m3s2_bte;
1193
wire    [dw-1:0] m3s3_data_i;
1194
wire    [dw-1:0] m3s3_data_o;
1195
wire    [aw-1:0] m3s3_addr;
1196
wire    [sw-1:0] m3s3_sel;
1197
wire                    m3s3_we;
1198
wire                    m3s3_cyc;
1199
wire                    m3s3_stb;
1200
wire                    m3s3_ack;
1201
wire                    m3s3_err;
1202
wire                    m3s3_rty;
1203
wire    [2:0]   m3s3_cti;
1204
wire    [1:0]   m3s3_bte;
1205
wire    [dw-1:0] m3s4_data_i;
1206
wire    [dw-1:0] m3s4_data_o;
1207
wire    [aw-1:0] m3s4_addr;
1208
wire    [sw-1:0] m3s4_sel;
1209
wire                    m3s4_we;
1210
wire                    m3s4_cyc;
1211
wire                    m3s4_stb;
1212
wire                    m3s4_ack;
1213
wire                    m3s4_err;
1214
wire                    m3s4_rty;
1215
wire    [2:0]   m3s4_cti;
1216
wire    [1:0]   m3s4_bte;
1217
wire    [dw-1:0] m3s5_data_i;
1218
wire    [dw-1:0] m3s5_data_o;
1219
wire    [aw-1:0] m3s5_addr;
1220
wire    [sw-1:0] m3s5_sel;
1221
wire                    m3s5_we;
1222
wire                    m3s5_cyc;
1223
wire                    m3s5_stb;
1224
wire                    m3s5_ack;
1225
wire                    m3s5_err;
1226
wire                    m3s5_rty;
1227
wire    [2:0]   m3s5_cti;
1228
wire    [1:0]   m3s5_bte;
1229
wire    [dw-1:0] m3s6_data_i;
1230
wire    [dw-1:0] m3s6_data_o;
1231
wire    [aw-1:0] m3s6_addr;
1232
wire    [sw-1:0] m3s6_sel;
1233
wire                    m3s6_we;
1234
wire                    m3s6_cyc;
1235
wire                    m3s6_stb;
1236
wire                    m3s6_ack;
1237
wire                    m3s6_err;
1238
wire                    m3s6_rty;
1239
wire    [2:0]   m3s6_cti;
1240
wire    [1:0]   m3s6_bte;
1241
wire    [dw-1:0] m3s7_data_i;
1242
wire    [dw-1:0] m3s7_data_o;
1243
wire    [aw-1:0] m3s7_addr;
1244
wire    [sw-1:0] m3s7_sel;
1245
wire                    m3s7_we;
1246
wire                    m3s7_cyc;
1247
wire                    m3s7_stb;
1248
wire                    m3s7_ack;
1249
wire                    m3s7_err;
1250
wire                    m3s7_rty;
1251
wire    [2:0]   m3s7_cti;
1252
wire    [1:0]   m3s7_bte;
1253
wire    [dw-1:0] m3s8_data_i;
1254
wire    [dw-1:0] m3s8_data_o;
1255
wire    [aw-1:0] m3s8_addr;
1256
wire    [sw-1:0] m3s8_sel;
1257
wire                    m3s8_we;
1258
wire                    m3s8_cyc;
1259
wire                    m3s8_stb;
1260
wire                    m3s8_ack;
1261
wire                    m3s8_err;
1262
wire                    m3s8_rty;
1263
wire    [2:0]   m3s8_cti;
1264
wire    [1:0]   m3s8_bte;
1265
wire    [dw-1:0] m3s9_data_i;
1266
wire    [dw-1:0] m3s9_data_o;
1267
wire    [aw-1:0] m3s9_addr;
1268
wire    [sw-1:0] m3s9_sel;
1269
wire                    m3s9_we;
1270
wire                    m3s9_cyc;
1271
wire                    m3s9_stb;
1272
wire                    m3s9_ack;
1273
wire                    m3s9_err;
1274
wire                    m3s9_rty;
1275
wire    [2:0]   m3s9_cti;
1276
wire    [1:0]   m3s9_bte;
1277
wire    [dw-1:0] m3s10_data_i;
1278
wire    [dw-1:0] m3s10_data_o;
1279
wire    [aw-1:0] m3s10_addr;
1280
wire    [sw-1:0] m3s10_sel;
1281
wire                    m3s10_we;
1282
wire                    m3s10_cyc;
1283
wire                    m3s10_stb;
1284
wire                    m3s10_ack;
1285
wire                    m3s10_err;
1286
wire                    m3s10_rty;
1287
wire    [2:0]   m3s10_cti;
1288
wire    [1:0]   m3s10_bte;
1289
wire    [dw-1:0] m3s11_data_i;
1290
wire    [dw-1:0] m3s11_data_o;
1291
wire    [aw-1:0] m3s11_addr;
1292
wire    [sw-1:0] m3s11_sel;
1293
wire                    m3s11_we;
1294
wire                    m3s11_cyc;
1295
wire                    m3s11_stb;
1296
wire                    m3s11_ack;
1297
wire                    m3s11_err;
1298
wire                    m3s11_rty;
1299
wire    [2:0]   m3s11_cti;
1300
wire    [1:0]   m3s11_bte;
1301
wire    [dw-1:0] m3s12_data_i;
1302
wire    [dw-1:0] m3s12_data_o;
1303
wire    [aw-1:0] m3s12_addr;
1304
wire    [sw-1:0] m3s12_sel;
1305
wire                    m3s12_we;
1306
wire                    m3s12_cyc;
1307
wire                    m3s12_stb;
1308
wire                    m3s12_ack;
1309
wire                    m3s12_err;
1310
wire                    m3s12_rty;
1311
wire    [2:0]   m3s12_cti;
1312
wire    [1:0]   m3s12_bte;
1313
wire    [dw-1:0] m3s13_data_i;
1314
wire    [dw-1:0] m3s13_data_o;
1315
wire    [aw-1:0] m3s13_addr;
1316
wire    [sw-1:0] m3s13_sel;
1317
wire                    m3s13_we;
1318
wire                    m3s13_cyc;
1319
wire                    m3s13_stb;
1320
wire                    m3s13_ack;
1321
wire                    m3s13_err;
1322
wire                    m3s13_rty;
1323
wire    [2:0]   m3s13_cti;
1324
wire    [1:0]   m3s13_bte;
1325
wire    [dw-1:0] m3s14_data_i;
1326
wire    [dw-1:0] m3s14_data_o;
1327
wire    [aw-1:0] m3s14_addr;
1328
wire    [sw-1:0] m3s14_sel;
1329
wire                    m3s14_we;
1330
wire                    m3s14_cyc;
1331
wire                    m3s14_stb;
1332
wire                    m3s14_ack;
1333
wire                    m3s14_err;
1334
wire                    m3s14_rty;
1335
wire    [2:0]   m3s14_cti;
1336
wire    [1:0]   m3s14_bte;
1337
wire    [dw-1:0] m3s15_data_i;
1338
wire    [dw-1:0] m3s15_data_o;
1339
wire    [aw-1:0] m3s15_addr;
1340
wire    [sw-1:0] m3s15_sel;
1341
wire                    m3s15_we;
1342
wire                    m3s15_cyc;
1343
wire                    m3s15_stb;
1344
wire                    m3s15_ack;
1345
wire                    m3s15_err;
1346
wire                    m3s15_rty;
1347
wire    [2:0]   m3s15_cti;
1348
wire    [1:0]   m3s15_bte;
1349
wire    [dw-1:0] m4s0_data_i;
1350
wire    [dw-1:0] m4s0_data_o;
1351
wire    [aw-1:0] m4s0_addr;
1352
wire    [sw-1:0] m4s0_sel;
1353
wire                    m4s0_we;
1354
wire                    m4s0_cyc;
1355
wire                    m4s0_stb;
1356
wire                    m4s0_ack;
1357
wire                    m4s0_err;
1358
wire                    m4s0_rty;
1359
wire    [2:0]   m4s0_cti;
1360
wire    [1:0]   m4s0_bte;
1361
wire    [dw-1:0] m4s1_data_i;
1362
wire    [dw-1:0] m4s1_data_o;
1363
wire    [aw-1:0] m4s1_addr;
1364
wire    [sw-1:0] m4s1_sel;
1365
wire                    m4s1_we;
1366
wire                    m4s1_cyc;
1367
wire                    m4s1_stb;
1368
wire                    m4s1_ack;
1369
wire                    m4s1_err;
1370
wire                    m4s1_rty;
1371
wire    [2:0]   m4s1_cti;
1372
wire    [1:0]   m4s1_bte;
1373
wire    [dw-1:0] m4s2_data_i;
1374
wire    [dw-1:0] m4s2_data_o;
1375
wire    [aw-1:0] m4s2_addr;
1376
wire    [sw-1:0] m4s2_sel;
1377
wire                    m4s2_we;
1378
wire                    m4s2_cyc;
1379
wire                    m4s2_stb;
1380
wire                    m4s2_ack;
1381
wire                    m4s2_err;
1382
wire                    m4s2_rty;
1383
wire    [2:0]   m4s2_cti;
1384
wire    [1:0]   m4s2_bte;
1385
wire    [dw-1:0] m4s3_data_i;
1386
wire    [dw-1:0] m4s3_data_o;
1387
wire    [aw-1:0] m4s3_addr;
1388
wire    [sw-1:0] m4s3_sel;
1389
wire                    m4s3_we;
1390
wire                    m4s3_cyc;
1391
wire                    m4s3_stb;
1392
wire                    m4s3_ack;
1393
wire                    m4s3_err;
1394
wire                    m4s3_rty;
1395
wire    [2:0]   m4s3_cti;
1396
wire    [1:0]   m4s3_bte;
1397
wire    [dw-1:0] m4s4_data_i;
1398
wire    [dw-1:0] m4s4_data_o;
1399
wire    [aw-1:0] m4s4_addr;
1400
wire    [sw-1:0] m4s4_sel;
1401
wire                    m4s4_we;
1402
wire                    m4s4_cyc;
1403
wire                    m4s4_stb;
1404
wire                    m4s4_ack;
1405
wire                    m4s4_err;
1406
wire                    m4s4_rty;
1407
wire    [2:0]   m4s4_cti;
1408
wire    [1:0]   m4s4_bte;
1409
wire    [dw-1:0] m4s5_data_i;
1410
wire    [dw-1:0] m4s5_data_o;
1411
wire    [aw-1:0] m4s5_addr;
1412
wire    [sw-1:0] m4s5_sel;
1413
wire                    m4s5_we;
1414
wire                    m4s5_cyc;
1415
wire                    m4s5_stb;
1416
wire                    m4s5_ack;
1417
wire                    m4s5_err;
1418
wire                    m4s5_rty;
1419
wire    [2:0]   m4s5_cti;
1420
wire    [1:0]   m4s5_bte;
1421
wire    [dw-1:0] m4s6_data_i;
1422
wire    [dw-1:0] m4s6_data_o;
1423
wire    [aw-1:0] m4s6_addr;
1424
wire    [sw-1:0] m4s6_sel;
1425
wire                    m4s6_we;
1426
wire                    m4s6_cyc;
1427
wire                    m4s6_stb;
1428
wire                    m4s6_ack;
1429
wire                    m4s6_err;
1430
wire                    m4s6_rty;
1431
wire    [2:0]   m4s6_cti;
1432
wire    [1:0]   m4s6_bte;
1433
wire    [dw-1:0] m4s7_data_i;
1434
wire    [dw-1:0] m4s7_data_o;
1435
wire    [aw-1:0] m4s7_addr;
1436
wire    [sw-1:0] m4s7_sel;
1437
wire                    m4s7_we;
1438
wire                    m4s7_cyc;
1439
wire                    m4s7_stb;
1440
wire                    m4s7_ack;
1441
wire                    m4s7_err;
1442
wire                    m4s7_rty;
1443
wire    [2:0]   m4s7_cti;
1444
wire    [1:0]   m4s7_bte;
1445
wire    [dw-1:0] m4s8_data_i;
1446
wire    [dw-1:0] m4s8_data_o;
1447
wire    [aw-1:0] m4s8_addr;
1448
wire    [sw-1:0] m4s8_sel;
1449
wire                    m4s8_we;
1450
wire                    m4s8_cyc;
1451
wire                    m4s8_stb;
1452
wire                    m4s8_ack;
1453
wire                    m4s8_err;
1454
wire                    m4s8_rty;
1455
wire    [2:0]   m4s8_cti;
1456
wire    [1:0]   m4s8_bte;
1457
wire    [dw-1:0] m4s9_data_i;
1458
wire    [dw-1:0] m4s9_data_o;
1459
wire    [aw-1:0] m4s9_addr;
1460
wire    [sw-1:0] m4s9_sel;
1461
wire                    m4s9_we;
1462
wire                    m4s9_cyc;
1463
wire                    m4s9_stb;
1464
wire                    m4s9_ack;
1465
wire                    m4s9_err;
1466
wire                    m4s9_rty;
1467
wire    [2:0]   m4s9_cti;
1468
wire    [1:0]   m4s9_bte;
1469
wire    [dw-1:0] m4s10_data_i;
1470
wire    [dw-1:0] m4s10_data_o;
1471
wire    [aw-1:0] m4s10_addr;
1472
wire    [sw-1:0] m4s10_sel;
1473
wire                    m4s10_we;
1474
wire                    m4s10_cyc;
1475
wire                    m4s10_stb;
1476
wire                    m4s10_ack;
1477
wire                    m4s10_err;
1478
wire                    m4s10_rty;
1479
wire    [2:0]   m4s10_cti;
1480
wire    [1:0]   m4s10_bte;
1481
wire    [dw-1:0] m4s11_data_i;
1482
wire    [dw-1:0] m4s11_data_o;
1483
wire    [aw-1:0] m4s11_addr;
1484
wire    [sw-1:0] m4s11_sel;
1485
wire                    m4s11_we;
1486
wire                    m4s11_cyc;
1487
wire                    m4s11_stb;
1488
wire                    m4s11_ack;
1489
wire                    m4s11_err;
1490
wire                    m4s11_rty;
1491
wire    [2:0]   m4s11_cti;
1492
wire    [1:0]   m4s11_bte;
1493
wire    [dw-1:0] m4s12_data_i;
1494
wire    [dw-1:0] m4s12_data_o;
1495
wire    [aw-1:0] m4s12_addr;
1496
wire    [sw-1:0] m4s12_sel;
1497
wire                    m4s12_we;
1498
wire                    m4s12_cyc;
1499
wire                    m4s12_stb;
1500
wire                    m4s12_ack;
1501
wire                    m4s12_err;
1502
wire                    m4s12_rty;
1503
wire    [2:0]   m4s12_cti;
1504
wire    [1:0]   m4s12_bte;
1505
wire    [dw-1:0] m4s13_data_i;
1506
wire    [dw-1:0] m4s13_data_o;
1507
wire    [aw-1:0] m4s13_addr;
1508
wire    [sw-1:0] m4s13_sel;
1509
wire                    m4s13_we;
1510
wire                    m4s13_cyc;
1511
wire                    m4s13_stb;
1512
wire                    m4s13_ack;
1513
wire                    m4s13_err;
1514
wire                    m4s13_rty;
1515
wire    [2:0]   m4s13_cti;
1516
wire    [1:0]   m4s13_bte;
1517
wire    [dw-1:0] m4s14_data_i;
1518
wire    [dw-1:0] m4s14_data_o;
1519
wire    [aw-1:0] m4s14_addr;
1520
wire    [sw-1:0] m4s14_sel;
1521
wire                    m4s14_we;
1522
wire                    m4s14_cyc;
1523
wire                    m4s14_stb;
1524
wire                    m4s14_ack;
1525
wire                    m4s14_err;
1526
wire                    m4s14_rty;
1527
wire    [2:0]   m4s14_cti;
1528
wire    [1:0]   m4s14_bte;
1529
wire    [dw-1:0] m4s15_data_i;
1530
wire    [dw-1:0] m4s15_data_o;
1531
wire    [aw-1:0] m4s15_addr;
1532
wire    [sw-1:0] m4s15_sel;
1533
wire                    m4s15_we;
1534
wire                    m4s15_cyc;
1535
wire                    m4s15_stb;
1536
wire                    m4s15_ack;
1537
wire                    m4s15_err;
1538
wire                    m4s15_rty;
1539
wire    [2:0]   m4s15_cti;
1540
wire    [1:0]   m4s15_bte;
1541
wire    [dw-1:0] m5s0_data_i;
1542
wire    [dw-1:0] m5s0_data_o;
1543
wire    [aw-1:0] m5s0_addr;
1544
wire    [sw-1:0] m5s0_sel;
1545
wire                    m5s0_we;
1546
wire                    m5s0_cyc;
1547
wire                    m5s0_stb;
1548
wire                    m5s0_ack;
1549
wire                    m5s0_err;
1550
wire                    m5s0_rty;
1551
wire    [2:0]   m5s0_cti;
1552
wire    [1:0]   m5s0_bte;
1553
wire    [dw-1:0] m5s1_data_i;
1554
wire    [dw-1:0] m5s1_data_o;
1555
wire    [aw-1:0] m5s1_addr;
1556
wire    [sw-1:0] m5s1_sel;
1557
wire                    m5s1_we;
1558
wire                    m5s1_cyc;
1559
wire                    m5s1_stb;
1560
wire                    m5s1_ack;
1561
wire                    m5s1_err;
1562
wire                    m5s1_rty;
1563
wire    [2:0]   m5s1_cti;
1564
wire    [1:0]   m5s1_bte;
1565
wire    [dw-1:0] m5s2_data_i;
1566
wire    [dw-1:0] m5s2_data_o;
1567
wire    [aw-1:0] m5s2_addr;
1568
wire    [sw-1:0] m5s2_sel;
1569
wire                    m5s2_we;
1570
wire                    m5s2_cyc;
1571
wire                    m5s2_stb;
1572
wire                    m5s2_ack;
1573
wire                    m5s2_err;
1574
wire                    m5s2_rty;
1575
wire    [2:0]   m5s2_cti;
1576
wire    [1:0]   m5s2_bte;
1577
wire    [dw-1:0] m5s3_data_i;
1578
wire    [dw-1:0] m5s3_data_o;
1579
wire    [aw-1:0] m5s3_addr;
1580
wire    [sw-1:0] m5s3_sel;
1581
wire                    m5s3_we;
1582
wire                    m5s3_cyc;
1583
wire                    m5s3_stb;
1584
wire                    m5s3_ack;
1585
wire                    m5s3_err;
1586
wire                    m5s3_rty;
1587
wire    [2:0]   m5s3_cti;
1588
wire    [1:0]   m5s3_bte;
1589
wire    [dw-1:0] m5s4_data_i;
1590
wire    [dw-1:0] m5s4_data_o;
1591
wire    [aw-1:0] m5s4_addr;
1592
wire    [sw-1:0] m5s4_sel;
1593
wire                    m5s4_we;
1594
wire                    m5s4_cyc;
1595
wire                    m5s4_stb;
1596
wire                    m5s4_ack;
1597
wire                    m5s4_err;
1598
wire                    m5s4_rty;
1599
wire    [2:0]   m5s4_cti;
1600
wire    [1:0]   m5s4_bte;
1601
wire    [dw-1:0] m5s5_data_i;
1602
wire    [dw-1:0] m5s5_data_o;
1603
wire    [aw-1:0] m5s5_addr;
1604
wire    [sw-1:0] m5s5_sel;
1605
wire                    m5s5_we;
1606
wire                    m5s5_cyc;
1607
wire                    m5s5_stb;
1608
wire                    m5s5_ack;
1609
wire                    m5s5_err;
1610
wire                    m5s5_rty;
1611
wire    [2:0]   m5s5_cti;
1612
wire    [1:0]   m5s5_bte;
1613
wire    [dw-1:0] m5s6_data_i;
1614
wire    [dw-1:0] m5s6_data_o;
1615
wire    [aw-1:0] m5s6_addr;
1616
wire    [sw-1:0] m5s6_sel;
1617
wire                    m5s6_we;
1618
wire                    m5s6_cyc;
1619
wire                    m5s6_stb;
1620
wire                    m5s6_ack;
1621
wire                    m5s6_err;
1622
wire                    m5s6_rty;
1623
wire    [2:0]   m5s6_cti;
1624
wire    [1:0]   m5s6_bte;
1625
wire    [dw-1:0] m5s7_data_i;
1626
wire    [dw-1:0] m5s7_data_o;
1627
wire    [aw-1:0] m5s7_addr;
1628
wire    [sw-1:0] m5s7_sel;
1629
wire                    m5s7_we;
1630
wire                    m5s7_cyc;
1631
wire                    m5s7_stb;
1632
wire                    m5s7_ack;
1633
wire                    m5s7_err;
1634
wire                    m5s7_rty;
1635
wire    [2:0]   m5s7_cti;
1636
wire    [1:0]   m5s7_bte;
1637
wire    [dw-1:0] m5s8_data_i;
1638
wire    [dw-1:0] m5s8_data_o;
1639
wire    [aw-1:0] m5s8_addr;
1640
wire    [sw-1:0] m5s8_sel;
1641
wire                    m5s8_we;
1642
wire                    m5s8_cyc;
1643
wire                    m5s8_stb;
1644
wire                    m5s8_ack;
1645
wire                    m5s8_err;
1646
wire                    m5s8_rty;
1647
wire    [2:0]   m5s8_cti;
1648
wire    [1:0]   m5s8_bte;
1649
wire    [dw-1:0] m5s9_data_i;
1650
wire    [dw-1:0] m5s9_data_o;
1651
wire    [aw-1:0] m5s9_addr;
1652
wire    [sw-1:0] m5s9_sel;
1653
wire                    m5s9_we;
1654
wire                    m5s9_cyc;
1655
wire                    m5s9_stb;
1656
wire                    m5s9_ack;
1657
wire                    m5s9_err;
1658
wire                    m5s9_rty;
1659
wire    [2:0]   m5s9_cti;
1660
wire    [1:0]   m5s9_bte;
1661
wire    [dw-1:0] m5s10_data_i;
1662
wire    [dw-1:0] m5s10_data_o;
1663
wire    [aw-1:0] m5s10_addr;
1664
wire    [sw-1:0] m5s10_sel;
1665
wire                    m5s10_we;
1666
wire                    m5s10_cyc;
1667
wire                    m5s10_stb;
1668
wire                    m5s10_ack;
1669
wire                    m5s10_err;
1670
wire                    m5s10_rty;
1671
wire    [2:0]   m5s10_cti;
1672
wire    [1:0]   m5s10_bte;
1673
wire    [dw-1:0] m5s11_data_i;
1674
wire    [dw-1:0] m5s11_data_o;
1675
wire    [aw-1:0] m5s11_addr;
1676
wire    [sw-1:0] m5s11_sel;
1677
wire                    m5s11_we;
1678
wire                    m5s11_cyc;
1679
wire                    m5s11_stb;
1680
wire                    m5s11_ack;
1681
wire                    m5s11_err;
1682
wire                    m5s11_rty;
1683
wire    [2:0]   m5s11_cti;
1684
wire    [1:0]   m5s11_bte;
1685
wire    [dw-1:0] m5s12_data_i;
1686
wire    [dw-1:0] m5s12_data_o;
1687
wire    [aw-1:0] m5s12_addr;
1688
wire    [sw-1:0] m5s12_sel;
1689
wire                    m5s12_we;
1690
wire                    m5s12_cyc;
1691
wire                    m5s12_stb;
1692
wire                    m5s12_ack;
1693
wire                    m5s12_err;
1694
wire                    m5s12_rty;
1695
wire    [2:0]   m5s12_cti;
1696
wire    [1:0]   m5s12_bte;
1697
wire    [dw-1:0] m5s13_data_i;
1698
wire    [dw-1:0] m5s13_data_o;
1699
wire    [aw-1:0] m5s13_addr;
1700
wire    [sw-1:0] m5s13_sel;
1701
wire                    m5s13_we;
1702
wire                    m5s13_cyc;
1703
wire                    m5s13_stb;
1704
wire                    m5s13_ack;
1705
wire                    m5s13_err;
1706
wire                    m5s13_rty;
1707
wire    [2:0]   m5s13_cti;
1708
wire    [1:0]   m5s13_bte;
1709
wire    [dw-1:0] m5s14_data_i;
1710
wire    [dw-1:0] m5s14_data_o;
1711
wire    [aw-1:0] m5s14_addr;
1712
wire    [sw-1:0] m5s14_sel;
1713
wire                    m5s14_we;
1714
wire                    m5s14_cyc;
1715
wire                    m5s14_stb;
1716
wire                    m5s14_ack;
1717
wire                    m5s14_err;
1718
wire                    m5s14_rty;
1719
wire    [2:0]   m5s14_cti;
1720
wire    [1:0]   m5s14_bte;
1721
wire    [dw-1:0] m5s15_data_i;
1722
wire    [dw-1:0] m5s15_data_o;
1723
wire    [aw-1:0] m5s15_addr;
1724
wire    [sw-1:0] m5s15_sel;
1725
wire                    m5s15_we;
1726
wire                    m5s15_cyc;
1727
wire                    m5s15_stb;
1728
wire                    m5s15_ack;
1729
wire                    m5s15_err;
1730
wire                    m5s15_rty;
1731
wire    [2:0]   m5s15_cti;
1732
wire    [1:0]   m5s15_bte;
1733
wire    [dw-1:0] m6s0_data_i;
1734
wire    [dw-1:0] m6s0_data_o;
1735
wire    [aw-1:0] m6s0_addr;
1736
wire    [sw-1:0] m6s0_sel;
1737
wire                    m6s0_we;
1738
wire                    m6s0_cyc;
1739
wire                    m6s0_stb;
1740
wire                    m6s0_ack;
1741
wire                    m6s0_err;
1742
wire                    m6s0_rty;
1743
wire    [2:0]   m6s0_cti;
1744
wire    [1:0]   m6s0_bte;
1745
wire    [dw-1:0] m6s1_data_i;
1746
wire    [dw-1:0] m6s1_data_o;
1747
wire    [aw-1:0] m6s1_addr;
1748
wire    [sw-1:0] m6s1_sel;
1749
wire                    m6s1_we;
1750
wire                    m6s1_cyc;
1751
wire                    m6s1_stb;
1752
wire                    m6s1_ack;
1753
wire                    m6s1_err;
1754
wire                    m6s1_rty;
1755
wire    [2:0]   m6s1_cti;
1756
wire    [1:0]   m6s1_bte;
1757
wire    [dw-1:0] m6s2_data_i;
1758
wire    [dw-1:0] m6s2_data_o;
1759
wire    [aw-1:0] m6s2_addr;
1760
wire    [sw-1:0] m6s2_sel;
1761
wire                    m6s2_we;
1762
wire                    m6s2_cyc;
1763
wire                    m6s2_stb;
1764
wire                    m6s2_ack;
1765
wire                    m6s2_err;
1766
wire                    m6s2_rty;
1767
wire    [2:0]   m6s2_cti;
1768
wire    [1:0]   m6s2_bte;
1769
wire    [dw-1:0] m6s3_data_i;
1770
wire    [dw-1:0] m6s3_data_o;
1771
wire    [aw-1:0] m6s3_addr;
1772
wire    [sw-1:0] m6s3_sel;
1773
wire                    m6s3_we;
1774
wire                    m6s3_cyc;
1775
wire                    m6s3_stb;
1776
wire                    m6s3_ack;
1777
wire                    m6s3_err;
1778
wire                    m6s3_rty;
1779
wire    [2:0]   m6s3_cti;
1780
wire    [1:0]   m6s3_bte;
1781
wire    [dw-1:0] m6s4_data_i;
1782
wire    [dw-1:0] m6s4_data_o;
1783
wire    [aw-1:0] m6s4_addr;
1784
wire    [sw-1:0] m6s4_sel;
1785
wire                    m6s4_we;
1786
wire                    m6s4_cyc;
1787
wire                    m6s4_stb;
1788
wire                    m6s4_ack;
1789
wire                    m6s4_err;
1790
wire                    m6s4_rty;
1791
wire    [2:0]   m6s4_cti;
1792
wire    [1:0]   m6s4_bte;
1793
wire    [dw-1:0] m6s5_data_i;
1794
wire    [dw-1:0] m6s5_data_o;
1795
wire    [aw-1:0] m6s5_addr;
1796
wire    [sw-1:0] m6s5_sel;
1797
wire                    m6s5_we;
1798
wire                    m6s5_cyc;
1799
wire                    m6s5_stb;
1800
wire                    m6s5_ack;
1801
wire                    m6s5_err;
1802
wire                    m6s5_rty;
1803
wire    [2:0]   m6s5_cti;
1804
wire    [1:0]   m6s5_bte;
1805
wire    [dw-1:0] m6s6_data_i;
1806
wire    [dw-1:0] m6s6_data_o;
1807
wire    [aw-1:0] m6s6_addr;
1808
wire    [sw-1:0] m6s6_sel;
1809
wire                    m6s6_we;
1810
wire                    m6s6_cyc;
1811
wire                    m6s6_stb;
1812
wire                    m6s6_ack;
1813
wire                    m6s6_err;
1814
wire                    m6s6_rty;
1815
wire    [2:0]   m6s6_cti;
1816
wire    [1:0]   m6s6_bte;
1817
wire    [dw-1:0] m6s7_data_i;
1818
wire    [dw-1:0] m6s7_data_o;
1819
wire    [aw-1:0] m6s7_addr;
1820
wire    [sw-1:0] m6s7_sel;
1821
wire                    m6s7_we;
1822
wire                    m6s7_cyc;
1823
wire                    m6s7_stb;
1824
wire                    m6s7_ack;
1825
wire                    m6s7_err;
1826
wire                    m6s7_rty;
1827
wire    [2:0]   m6s7_cti;
1828
wire    [1:0]   m6s7_bte;
1829
wire    [dw-1:0] m6s8_data_i;
1830
wire    [dw-1:0] m6s8_data_o;
1831
wire    [aw-1:0] m6s8_addr;
1832
wire    [sw-1:0] m6s8_sel;
1833
wire                    m6s8_we;
1834
wire                    m6s8_cyc;
1835
wire                    m6s8_stb;
1836
wire                    m6s8_ack;
1837
wire                    m6s8_err;
1838
wire                    m6s8_rty;
1839
wire    [2:0]   m6s8_cti;
1840
wire    [1:0]   m6s8_bte;
1841
wire    [dw-1:0] m6s9_data_i;
1842
wire    [dw-1:0] m6s9_data_o;
1843
wire    [aw-1:0] m6s9_addr;
1844
wire    [sw-1:0] m6s9_sel;
1845
wire                    m6s9_we;
1846
wire                    m6s9_cyc;
1847
wire                    m6s9_stb;
1848
wire                    m6s9_ack;
1849
wire                    m6s9_err;
1850
wire                    m6s9_rty;
1851
wire    [2:0]   m6s9_cti;
1852
wire    [1:0]   m6s9_bte;
1853
wire    [dw-1:0] m6s10_data_i;
1854
wire    [dw-1:0] m6s10_data_o;
1855
wire    [aw-1:0] m6s10_addr;
1856
wire    [sw-1:0] m6s10_sel;
1857
wire                    m6s10_we;
1858
wire                    m6s10_cyc;
1859
wire                    m6s10_stb;
1860
wire                    m6s10_ack;
1861
wire                    m6s10_err;
1862
wire                    m6s10_rty;
1863
wire    [2:0]   m6s10_cti;
1864
wire    [1:0]   m6s10_bte;
1865
wire    [dw-1:0] m6s11_data_i;
1866
wire    [dw-1:0] m6s11_data_o;
1867
wire    [aw-1:0] m6s11_addr;
1868
wire    [sw-1:0] m6s11_sel;
1869
wire                    m6s11_we;
1870
wire                    m6s11_cyc;
1871
wire                    m6s11_stb;
1872
wire                    m6s11_ack;
1873
wire                    m6s11_err;
1874
wire                    m6s11_rty;
1875
wire    [2:0]   m6s11_cti;
1876
wire    [1:0]   m6s11_bte;
1877
wire    [dw-1:0] m6s12_data_i;
1878
wire    [dw-1:0] m6s12_data_o;
1879
wire    [aw-1:0] m6s12_addr;
1880
wire    [sw-1:0] m6s12_sel;
1881
wire                    m6s12_we;
1882
wire                    m6s12_cyc;
1883
wire                    m6s12_stb;
1884
wire                    m6s12_ack;
1885
wire                    m6s12_err;
1886
wire                    m6s12_rty;
1887
wire    [2:0]   m6s12_cti;
1888
wire    [1:0]   m6s12_bte;
1889
wire    [dw-1:0] m6s13_data_i;
1890
wire    [dw-1:0] m6s13_data_o;
1891
wire    [aw-1:0] m6s13_addr;
1892
wire    [sw-1:0] m6s13_sel;
1893
wire                    m6s13_we;
1894
wire                    m6s13_cyc;
1895
wire                    m6s13_stb;
1896
wire                    m6s13_ack;
1897
wire                    m6s13_err;
1898
wire                    m6s13_rty;
1899
wire    [2:0]   m6s13_cti;
1900
wire    [1:0]   m6s13_bte;
1901
wire    [dw-1:0] m6s14_data_i;
1902
wire    [dw-1:0] m6s14_data_o;
1903
wire    [aw-1:0] m6s14_addr;
1904
wire    [sw-1:0] m6s14_sel;
1905
wire                    m6s14_we;
1906
wire                    m6s14_cyc;
1907
wire                    m6s14_stb;
1908
wire                    m6s14_ack;
1909
wire                    m6s14_err;
1910
wire                    m6s14_rty;
1911
wire    [2:0]   m6s14_cti;
1912
wire    [1:0]   m6s14_bte;
1913
wire    [dw-1:0] m6s15_data_i;
1914
wire    [dw-1:0] m6s15_data_o;
1915
wire    [aw-1:0] m6s15_addr;
1916
wire    [sw-1:0] m6s15_sel;
1917
wire                    m6s15_we;
1918
wire                    m6s15_cyc;
1919
wire                    m6s15_stb;
1920
wire                    m6s15_ack;
1921
wire                    m6s15_err;
1922
wire                    m6s15_rty;
1923
wire    [2:0]   m6s15_cti;
1924
wire    [1:0]   m6s15_bte;
1925
wire    [dw-1:0] m7s0_data_i;
1926
wire    [dw-1:0] m7s0_data_o;
1927
wire    [aw-1:0] m7s0_addr;
1928
wire    [sw-1:0] m7s0_sel;
1929
wire                    m7s0_we;
1930
wire                    m7s0_cyc;
1931
wire                    m7s0_stb;
1932
wire                    m7s0_ack;
1933
wire                    m7s0_err;
1934
wire                    m7s0_rty;
1935
wire    [2:0]   m7s0_cti;
1936
wire    [1:0]   m7s0_bte;
1937
wire    [dw-1:0] m7s1_data_i;
1938
wire    [dw-1:0] m7s1_data_o;
1939
wire    [aw-1:0] m7s1_addr;
1940
wire    [sw-1:0] m7s1_sel;
1941
wire                    m7s1_we;
1942
wire                    m7s1_cyc;
1943
wire                    m7s1_stb;
1944
wire                    m7s1_ack;
1945
wire                    m7s1_err;
1946
wire                    m7s1_rty;
1947
wire    [2:0]   m7s1_cti;
1948
wire    [1:0]   m7s1_bte;
1949
wire    [dw-1:0] m7s2_data_i;
1950
wire    [dw-1:0] m7s2_data_o;
1951
wire    [aw-1:0] m7s2_addr;
1952
wire    [sw-1:0] m7s2_sel;
1953
wire                    m7s2_we;
1954
wire                    m7s2_cyc;
1955
wire                    m7s2_stb;
1956
wire                    m7s2_ack;
1957
wire                    m7s2_err;
1958
wire                    m7s2_rty;
1959
wire    [2:0]   m7s2_cti;
1960
wire    [1:0]   m7s2_bte;
1961
wire    [dw-1:0] m7s3_data_i;
1962
wire    [dw-1:0] m7s3_data_o;
1963
wire    [aw-1:0] m7s3_addr;
1964
wire    [sw-1:0] m7s3_sel;
1965
wire                    m7s3_we;
1966
wire                    m7s3_cyc;
1967
wire                    m7s3_stb;
1968
wire                    m7s3_ack;
1969
wire                    m7s3_err;
1970
wire                    m7s3_rty;
1971
wire    [2:0]   m7s3_cti;
1972
wire    [1:0]   m7s3_bte;
1973
wire    [dw-1:0] m7s4_data_i;
1974
wire    [dw-1:0] m7s4_data_o;
1975
wire    [aw-1:0] m7s4_addr;
1976
wire    [sw-1:0] m7s4_sel;
1977
wire                    m7s4_we;
1978
wire                    m7s4_cyc;
1979
wire                    m7s4_stb;
1980
wire                    m7s4_ack;
1981
wire                    m7s4_err;
1982
wire                    m7s4_rty;
1983
wire    [2:0]   m7s4_cti;
1984
wire    [1:0]   m7s4_bte;
1985
wire    [dw-1:0] m7s5_data_i;
1986
wire    [dw-1:0] m7s5_data_o;
1987
wire    [aw-1:0] m7s5_addr;
1988
wire    [sw-1:0] m7s5_sel;
1989
wire                    m7s5_we;
1990
wire                    m7s5_cyc;
1991
wire                    m7s5_stb;
1992
wire                    m7s5_ack;
1993
wire                    m7s5_err;
1994
wire                    m7s5_rty;
1995
wire    [2:0]   m7s5_cti;
1996
wire    [1:0]   m7s5_bte;
1997
wire    [dw-1:0] m7s6_data_i;
1998
wire    [dw-1:0] m7s6_data_o;
1999
wire    [aw-1:0] m7s6_addr;
2000
wire    [sw-1:0] m7s6_sel;
2001
wire                    m7s6_we;
2002
wire                    m7s6_cyc;
2003
wire                    m7s6_stb;
2004
wire                    m7s6_ack;
2005
wire                    m7s6_err;
2006
wire                    m7s6_rty;
2007
wire    [2:0]   m7s6_cti;
2008
wire    [1:0]   m7s6_bte;
2009
wire    [dw-1:0] m7s7_data_i;
2010
wire    [dw-1:0] m7s7_data_o;
2011
wire    [aw-1:0] m7s7_addr;
2012
wire    [sw-1:0] m7s7_sel;
2013
wire                    m7s7_we;
2014
wire                    m7s7_cyc;
2015
wire                    m7s7_stb;
2016
wire                    m7s7_ack;
2017
wire                    m7s7_err;
2018
wire                    m7s7_rty;
2019
wire    [2:0]   m7s7_cti;
2020
wire    [1:0]   m7s7_bte;
2021
wire    [dw-1:0] m7s8_data_i;
2022
wire    [dw-1:0] m7s8_data_o;
2023
wire    [aw-1:0] m7s8_addr;
2024
wire    [sw-1:0] m7s8_sel;
2025
wire                    m7s8_we;
2026
wire                    m7s8_cyc;
2027
wire                    m7s8_stb;
2028
wire                    m7s8_ack;
2029
wire                    m7s8_err;
2030
wire                    m7s8_rty;
2031
wire    [2:0]   m7s8_cti;
2032
wire    [1:0]   m7s8_bte;
2033
wire    [dw-1:0] m7s9_data_i;
2034
wire    [dw-1:0] m7s9_data_o;
2035
wire    [aw-1:0] m7s9_addr;
2036
wire    [sw-1:0] m7s9_sel;
2037
wire                    m7s9_we;
2038
wire                    m7s9_cyc;
2039
wire                    m7s9_stb;
2040
wire                    m7s9_ack;
2041
wire                    m7s9_err;
2042
wire                    m7s9_rty;
2043
wire    [2:0]   m7s9_cti;
2044
wire    [1:0]   m7s9_bte;
2045
wire    [dw-1:0] m7s10_data_i;
2046
wire    [dw-1:0] m7s10_data_o;
2047
wire    [aw-1:0] m7s10_addr;
2048
wire    [sw-1:0] m7s10_sel;
2049
wire                    m7s10_we;
2050
wire                    m7s10_cyc;
2051
wire                    m7s10_stb;
2052
wire                    m7s10_ack;
2053
wire                    m7s10_err;
2054
wire                    m7s10_rty;
2055
wire    [2:0]   m7s10_cti;
2056
wire    [1:0]   m7s10_bte;
2057
wire    [dw-1:0] m7s11_data_i;
2058
wire    [dw-1:0] m7s11_data_o;
2059
wire    [aw-1:0] m7s11_addr;
2060
wire    [sw-1:0] m7s11_sel;
2061
wire                    m7s11_we;
2062
wire                    m7s11_cyc;
2063
wire                    m7s11_stb;
2064
wire                    m7s11_ack;
2065
wire                    m7s11_err;
2066
wire                    m7s11_rty;
2067
wire    [2:0]   m7s11_cti;
2068
wire    [1:0]   m7s11_bte;
2069
wire    [dw-1:0] m7s12_data_i;
2070
wire    [dw-1:0] m7s12_data_o;
2071
wire    [aw-1:0] m7s12_addr;
2072
wire    [sw-1:0] m7s12_sel;
2073
wire                    m7s12_we;
2074
wire                    m7s12_cyc;
2075
wire                    m7s12_stb;
2076
wire                    m7s12_ack;
2077
wire                    m7s12_err;
2078
wire                    m7s12_rty;
2079
wire    [2:0]   m7s12_cti;
2080
wire    [1:0]   m7s12_bte;
2081
wire    [dw-1:0] m7s13_data_i;
2082
wire    [dw-1:0] m7s13_data_o;
2083
wire    [aw-1:0] m7s13_addr;
2084
wire    [sw-1:0] m7s13_sel;
2085
wire                    m7s13_we;
2086
wire                    m7s13_cyc;
2087
wire                    m7s13_stb;
2088
wire                    m7s13_ack;
2089
wire                    m7s13_err;
2090
wire                    m7s13_rty;
2091
wire    [2:0]   m7s13_cti;
2092
wire    [1:0]   m7s13_bte;
2093
wire    [dw-1:0] m7s14_data_i;
2094
wire    [dw-1:0] m7s14_data_o;
2095
wire    [aw-1:0] m7s14_addr;
2096
wire    [sw-1:0] m7s14_sel;
2097
wire                    m7s14_we;
2098
wire                    m7s14_cyc;
2099
wire                    m7s14_stb;
2100
wire                    m7s14_ack;
2101
wire                    m7s14_err;
2102
wire                    m7s14_rty;
2103
wire    [2:0]   m7s14_cti;
2104
wire    [1:0]   m7s14_bte;
2105
wire    [dw-1:0] m7s15_data_i;
2106
wire    [dw-1:0] m7s15_data_o;
2107
wire    [aw-1:0] m7s15_addr;
2108
wire    [sw-1:0] m7s15_sel;
2109
wire                    m7s15_we;
2110
wire                    m7s15_cyc;
2111
wire                    m7s15_stb;
2112
wire                    m7s15_ack;
2113
wire                    m7s15_err;
2114
wire                    m7s15_rty;
2115
wire    [2:0]   m7s15_cti;
2116
wire    [1:0]   m7s15_bte;
2117
 
2118
wire    [15:0]           conf0;
2119
wire    [15:0]           conf1;
2120
wire    [15:0]           conf2;
2121
wire    [15:0]           conf3;
2122
wire    [15:0]           conf4;
2123
wire    [15:0]           conf5;
2124
wire    [15:0]           conf6;
2125
wire    [15:0]           conf7;
2126
wire    [15:0]           conf8;
2127
wire    [15:0]           conf9;
2128
wire    [15:0]           conf10;
2129
wire    [15:0]           conf11;
2130
wire    [15:0]           conf12;
2131
wire    [15:0]           conf13;
2132
wire    [15:0]           conf14;
2133
wire    [15:0]           conf15;
2134
 
2135
////////////////////////////////////////////////////////////////////
2136
//
2137
// Initial Configuration Check
2138
//
2139
 
2140
// synopsys translate_off
2141
initial
2142
   begin
2143
        if(dw<16)
2144
           begin
2145
                $display("ERROR: Setting Data bus width to less than 16 bits, will");
2146
                $display("       make it impossible to use the configurations registers.");
2147
                $finish;
2148
           end
2149
   end
2150
// synopsys translate_on
2151
 
2152
////////////////////////////////////////////////////////////////////
2153
//
2154
// Master Interfaces
2155
//
2156
 
2157
wb_conmax_master_if #(dw,aw,sw) m0(
2158
                .clk_i(         clk_i           ),
2159
                .rst_i(         rst_i           ),
2160
                .wb_data_i(     m0_data_i       ),
2161
                .wb_data_o(     m0_data_o       ),
2162
                .wb_addr_i(     m0_addr_i       ),
2163
                .wb_sel_i(      m0_sel_i        ),
2164
                .wb_we_i(       m0_we_i         ),
2165
                .wb_cyc_i(      m0_cyc_i        ),
2166
                .wb_stb_i(      m0_stb_i        ),
2167
                .wb_ack_o(      m0_ack_o        ),
2168
                .wb_err_o(      m0_err_o        ),
2169
                .wb_rty_o(      m0_rty_o        ),
2170
        .wb_cti_i(  m0_cti_i    ),
2171
        .wb_bte_i(  m0_bte_i    ),
2172
                .s0_data_i(     m0s0_data_i     ),
2173
                .s0_data_o(     m0s0_data_o     ),
2174
                .s0_addr_o(     m0s0_addr       ),
2175
                .s0_sel_o(      m0s0_sel        ),
2176
                .s0_we_o(       m0s0_we         ),
2177
                .s0_cyc_o(      m0s0_cyc        ),
2178
                .s0_stb_o(      m0s0_stb        ),
2179
                .s0_ack_i(      m0s0_ack        ),
2180
                .s0_err_i(      m0s0_err        ),
2181
                .s0_rty_i(      m0s0_rty        ),
2182
        .s0_cti_o(  m0s0_cti    ),
2183
        .s0_bte_o(  m0s0_bte    ),
2184
                .s1_data_i(     m0s1_data_i     ),
2185
                .s1_data_o(     m0s1_data_o     ),
2186
                .s1_addr_o(     m0s1_addr       ),
2187
                .s1_sel_o(      m0s1_sel        ),
2188
                .s1_we_o(       m0s1_we         ),
2189
                .s1_cyc_o(      m0s1_cyc        ),
2190
                .s1_stb_o(      m0s1_stb        ),
2191
                .s1_ack_i(      m0s1_ack        ),
2192
                .s1_err_i(      m0s1_err        ),
2193
                .s1_rty_i(      m0s1_rty        ),
2194
        .s1_cti_o(  m0s1_cti    ),
2195
        .s1_bte_o(  m0s1_bte    ),
2196
                .s2_data_i(     m0s2_data_i     ),
2197
                .s2_data_o(     m0s2_data_o     ),
2198
                .s2_addr_o(     m0s2_addr       ),
2199
                .s2_sel_o(      m0s2_sel        ),
2200
                .s2_we_o(       m0s2_we         ),
2201
                .s2_cyc_o(      m0s2_cyc        ),
2202
                .s2_stb_o(      m0s2_stb        ),
2203
                .s2_ack_i(      m0s2_ack        ),
2204
                .s2_err_i(      m0s2_err        ),
2205
                .s2_rty_i(      m0s2_rty        ),
2206
        .s2_cti_o(  m0s2_cti    ),
2207
        .s2_bte_o(  m0s2_bte    ),
2208
                .s3_data_i(     m0s3_data_i     ),
2209
                .s3_data_o(     m0s3_data_o     ),
2210
                .s3_addr_o(     m0s3_addr       ),
2211
                .s3_sel_o(      m0s3_sel        ),
2212
                .s3_we_o(       m0s3_we         ),
2213
                .s3_cyc_o(      m0s3_cyc        ),
2214
                .s3_stb_o(      m0s3_stb        ),
2215
                .s3_ack_i(      m0s3_ack        ),
2216
                .s3_err_i(      m0s3_err        ),
2217
                .s3_rty_i(      m0s3_rty        ),
2218
        .s3_cti_o(  m0s3_cti    ),
2219
        .s3_bte_o(  m0s3_bte    ),
2220
                .s4_data_i(     m0s4_data_i     ),
2221
                .s4_data_o(     m0s4_data_o     ),
2222
                .s4_addr_o(     m0s4_addr       ),
2223
                .s4_sel_o(      m0s4_sel        ),
2224
                .s4_we_o(       m0s4_we         ),
2225
                .s4_cyc_o(      m0s4_cyc        ),
2226
                .s4_stb_o(      m0s4_stb        ),
2227
                .s4_ack_i(      m0s4_ack        ),
2228
                .s4_err_i(      m0s4_err        ),
2229
                .s4_rty_i(      m0s4_rty        ),
2230
        .s4_cti_o(  m0s4_cti    ),
2231
        .s4_bte_o(  m0s4_bte    ),
2232
                .s5_data_i(     m0s5_data_i     ),
2233
                .s5_data_o(     m0s5_data_o     ),
2234
                .s5_addr_o(     m0s5_addr       ),
2235
                .s5_sel_o(      m0s5_sel        ),
2236
                .s5_we_o(       m0s5_we         ),
2237
                .s5_cyc_o(      m0s5_cyc        ),
2238
                .s5_stb_o(      m0s5_stb        ),
2239
                .s5_ack_i(      m0s5_ack        ),
2240
                .s5_err_i(      m0s5_err        ),
2241
                .s5_rty_i(      m0s5_rty        ),
2242
        .s5_cti_o(  m0s5_cti    ),
2243
        .s5_bte_o(  m0s5_bte    ),
2244
                .s6_data_i(     m0s6_data_i     ),
2245
                .s6_data_o(     m0s6_data_o     ),
2246
                .s6_addr_o(     m0s6_addr       ),
2247
                .s6_sel_o(      m0s6_sel        ),
2248
                .s6_we_o(       m0s6_we         ),
2249
                .s6_cyc_o(      m0s6_cyc        ),
2250
                .s6_stb_o(      m0s6_stb        ),
2251
                .s6_ack_i(      m0s6_ack        ),
2252
                .s6_err_i(      m0s6_err        ),
2253
                .s6_rty_i(      m0s6_rty        ),
2254
        .s6_cti_o(  m0s6_cti    ),
2255
        .s6_bte_o(  m0s6_bte    ),
2256
                .s7_data_i(     m0s7_data_i     ),
2257
                .s7_data_o(     m0s7_data_o     ),
2258
                .s7_addr_o(     m0s7_addr       ),
2259
                .s7_sel_o(      m0s7_sel        ),
2260
                .s7_we_o(       m0s7_we         ),
2261
                .s7_cyc_o(      m0s7_cyc        ),
2262
                .s7_stb_o(      m0s7_stb        ),
2263
                .s7_ack_i(      m0s7_ack        ),
2264
                .s7_err_i(      m0s7_err        ),
2265
                .s7_rty_i(      m0s7_rty        ),
2266
        .s7_cti_o(  m0s7_cti    ),
2267
        .s7_bte_o(  m0s7_bte    ),
2268
                .s8_data_i(     m0s8_data_i     ),
2269
                .s8_data_o(     m0s8_data_o     ),
2270
                .s8_addr_o(     m0s8_addr       ),
2271
                .s8_sel_o(      m0s8_sel        ),
2272
                .s8_we_o(       m0s8_we         ),
2273
                .s8_cyc_o(      m0s8_cyc        ),
2274
                .s8_stb_o(      m0s8_stb        ),
2275
                .s8_ack_i(      m0s8_ack        ),
2276
                .s8_err_i(      m0s8_err        ),
2277
                .s8_rty_i(      m0s8_rty        ),
2278
        .s8_cti_o(  m0s8_cti    ),
2279
        .s8_bte_o(  m0s8_bte    ),
2280
                .s9_data_i(     m0s9_data_i     ),
2281
                .s9_data_o(     m0s9_data_o     ),
2282
                .s9_addr_o(     m0s9_addr       ),
2283
                .s9_sel_o(      m0s9_sel        ),
2284
                .s9_we_o(       m0s9_we         ),
2285
                .s9_cyc_o(      m0s9_cyc        ),
2286
                .s9_stb_o(      m0s9_stb        ),
2287
                .s9_ack_i(      m0s9_ack        ),
2288
                .s9_err_i(      m0s9_err        ),
2289
                .s9_rty_i(      m0s9_rty        ),
2290
        .s9_cti_o(  m0s9_cti    ),
2291
        .s9_bte_o(  m0s9_bte    ),
2292
                .s10_data_i(    m0s10_data_i    ),
2293
                .s10_data_o(    m0s10_data_o    ),
2294
                .s10_addr_o(    m0s10_addr      ),
2295
                .s10_sel_o(     m0s10_sel       ),
2296
                .s10_we_o(      m0s10_we        ),
2297
                .s10_cyc_o(     m0s10_cyc       ),
2298
                .s10_stb_o(     m0s10_stb       ),
2299
                .s10_ack_i(     m0s10_ack       ),
2300
                .s10_err_i(     m0s10_err       ),
2301
                .s10_rty_i(     m0s10_rty       ),
2302
        .s10_cti_o( m0s10_cti   ),
2303
        .s10_bte_o( m0s10_bte   ),
2304
                .s11_data_i(    m0s11_data_i    ),
2305
                .s11_data_o(    m0s11_data_o    ),
2306
                .s11_addr_o(    m0s11_addr      ),
2307
                .s11_sel_o(     m0s11_sel       ),
2308
                .s11_we_o(      m0s11_we        ),
2309
                .s11_cyc_o(     m0s11_cyc       ),
2310
                .s11_stb_o(     m0s11_stb       ),
2311
                .s11_ack_i(     m0s11_ack       ),
2312
                .s11_err_i(     m0s11_err       ),
2313
                .s11_rty_i(     m0s11_rty       ),
2314
        .s11_cti_o( m0s11_cti   ),
2315
        .s11_bte_o( m0s11_bte   ),
2316
                .s12_data_i(    m0s12_data_i    ),
2317
                .s12_data_o(    m0s12_data_o    ),
2318
                .s12_addr_o(    m0s12_addr      ),
2319
                .s12_sel_o(     m0s12_sel       ),
2320
                .s12_we_o(      m0s12_we        ),
2321
                .s12_cyc_o(     m0s12_cyc       ),
2322
                .s12_stb_o(     m0s12_stb       ),
2323
                .s12_ack_i(     m0s12_ack       ),
2324
                .s12_err_i(     m0s12_err       ),
2325
                .s12_rty_i(     m0s12_rty       ),
2326
        .s12_cti_o( m0s12_cti   ),
2327
        .s12_bte_o( m0s12_bte   ),
2328
                .s13_data_i(    m0s13_data_i    ),
2329
                .s13_data_o(    m0s13_data_o    ),
2330
                .s13_addr_o(    m0s13_addr      ),
2331
                .s13_sel_o(     m0s13_sel       ),
2332
                .s13_we_o(      m0s13_we        ),
2333
                .s13_cyc_o(     m0s13_cyc       ),
2334
                .s13_stb_o(     m0s13_stb       ),
2335
                .s13_ack_i(     m0s13_ack       ),
2336
                .s13_err_i(     m0s13_err       ),
2337
                .s13_rty_i(     m0s13_rty       ),
2338
        .s13_cti_o( m0s13_cti   ),
2339
        .s13_bte_o( m0s13_bte   ),
2340
                .s14_data_i(    m0s14_data_i    ),
2341
                .s14_data_o(    m0s14_data_o    ),
2342
                .s14_addr_o(    m0s14_addr      ),
2343
                .s14_sel_o(     m0s14_sel       ),
2344
                .s14_we_o(      m0s14_we        ),
2345
                .s14_cyc_o(     m0s14_cyc       ),
2346
                .s14_stb_o(     m0s14_stb       ),
2347
                .s14_ack_i(     m0s14_ack       ),
2348
                .s14_err_i(     m0s14_err       ),
2349
                .s14_rty_i(     m0s14_rty       ),
2350
        .s14_cti_o( m0s14_cti   ),
2351
        .s14_bte_o( m0s14_bte   ),
2352
                .s15_data_i(    m0s15_data_i    ),
2353
                .s15_data_o(    m0s15_data_o    ),
2354
                .s15_addr_o(    m0s15_addr      ),
2355
                .s15_sel_o(     m0s15_sel       ),
2356
                .s15_we_o(      m0s15_we        ),
2357
                .s15_cyc_o(     m0s15_cyc       ),
2358
                .s15_stb_o(     m0s15_stb       ),
2359
                .s15_ack_i(     m0s15_ack       ),
2360
                .s15_err_i(     m0s15_err       ),
2361
                .s15_rty_i(     m0s15_rty       ),
2362
        .s15_cti_o( m0s15_cti   ),
2363
        .s15_bte_o( m0s15_bte   )
2364
                );
2365
 
2366
wb_conmax_master_if #(dw,aw,sw) m1(
2367
                .clk_i(         clk_i           ),
2368
                .rst_i(         rst_i           ),
2369
                .wb_data_i(     m1_data_i       ),
2370
                .wb_data_o(     m1_data_o       ),
2371
                .wb_addr_i(     m1_addr_i       ),
2372
                .wb_sel_i(      m1_sel_i        ),
2373
                .wb_we_i(       m1_we_i         ),
2374
                .wb_cyc_i(      m1_cyc_i        ),
2375
                .wb_stb_i(      m1_stb_i        ),
2376
                .wb_ack_o(      m1_ack_o        ),
2377
                .wb_err_o(      m1_err_o        ),
2378
                .wb_rty_o(      m1_rty_o        ),
2379
        .wb_cti_i(  m1_cti_i    ),
2380
        .wb_bte_i(  m1_bte_i    ),
2381
                .s0_data_i(     m1s0_data_i      ),
2382
                .s0_data_o(     m1s0_data_o      ),
2383
                .s0_addr_o(     m1s0_addr        ),
2384
                .s0_sel_o(      m1s0_sel ),
2385
                .s0_we_o(       m1s0_we          ),
2386
                .s0_cyc_o(      m1s0_cyc ),
2387
                .s0_stb_o(      m1s0_stb ),
2388
                .s0_ack_i(      m1s0_ack ),
2389
                .s0_err_i(      m1s0_err ),
2390
                .s0_rty_i(      m1s0_rty ),
2391
        .s0_cti_o(  m1s0_cti    ),
2392
        .s0_bte_o(  m1s0_bte    ),
2393
                .s1_data_i(     m1s1_data_i     ),
2394
                .s1_data_o(     m1s1_data_o     ),
2395
                .s1_addr_o(     m1s1_addr       ),
2396
                .s1_sel_o(      m1s1_sel        ),
2397
                .s1_we_o(       m1s1_we         ),
2398
                .s1_cyc_o(      m1s1_cyc        ),
2399
                .s1_stb_o(      m1s1_stb        ),
2400
                .s1_ack_i(      m1s1_ack        ),
2401
                .s1_err_i(      m1s1_err        ),
2402
                .s1_rty_i(      m1s1_rty        ),
2403
        .s1_cti_o(  m1s1_cti    ),
2404
        .s1_bte_o(  m1s1_bte    ),
2405
                .s2_data_i(     m1s2_data_i     ),
2406
                .s2_data_o(     m1s2_data_o     ),
2407
                .s2_addr_o(     m1s2_addr       ),
2408
                .s2_sel_o(      m1s2_sel        ),
2409
                .s2_we_o(       m1s2_we         ),
2410
                .s2_cyc_o(      m1s2_cyc        ),
2411
                .s2_stb_o(      m1s2_stb        ),
2412
                .s2_ack_i(      m1s2_ack        ),
2413
                .s2_err_i(      m1s2_err        ),
2414
                .s2_rty_i(      m1s2_rty        ),
2415
        .s2_cti_o(  m1s2_cti    ),
2416
        .s2_bte_o(  m1s2_bte    ),
2417
                .s3_data_i(     m1s3_data_i     ),
2418
                .s3_data_o(     m1s3_data_o     ),
2419
                .s3_addr_o(     m1s3_addr       ),
2420
                .s3_sel_o(      m1s3_sel        ),
2421
                .s3_we_o(       m1s3_we         ),
2422
                .s3_cyc_o(      m1s3_cyc        ),
2423
                .s3_stb_o(      m1s3_stb        ),
2424
                .s3_ack_i(      m1s3_ack        ),
2425
                .s3_err_i(      m1s3_err        ),
2426
                .s3_rty_i(      m1s3_rty        ),
2427
        .s3_cti_o(  m1s3_cti    ),
2428
        .s3_bte_o(  m1s3_bte    ),
2429
                .s4_data_i(     m1s4_data_i     ),
2430
                .s4_data_o(     m1s4_data_o     ),
2431
                .s4_addr_o(     m1s4_addr       ),
2432
                .s4_sel_o(      m1s4_sel        ),
2433
                .s4_we_o(       m1s4_we         ),
2434
                .s4_cyc_o(      m1s4_cyc        ),
2435
                .s4_stb_o(      m1s4_stb        ),
2436
                .s4_ack_i(      m1s4_ack        ),
2437
                .s4_err_i(      m1s4_err        ),
2438
                .s4_rty_i(      m1s4_rty        ),
2439
        .s4_cti_o(  m1s4_cti    ),
2440
        .s4_bte_o(  m1s4_bte    ),
2441
                .s5_data_i(     m1s5_data_i     ),
2442
                .s5_data_o(     m1s5_data_o     ),
2443
                .s5_addr_o(     m1s5_addr       ),
2444
                .s5_sel_o(      m1s5_sel        ),
2445
                .s5_we_o(       m1s5_we         ),
2446
                .s5_cyc_o(      m1s5_cyc        ),
2447
                .s5_stb_o(      m1s5_stb        ),
2448
                .s5_ack_i(      m1s5_ack        ),
2449
                .s5_err_i(      m1s5_err        ),
2450
                .s5_rty_i(      m1s5_rty        ),
2451
        .s5_cti_o(  m1s5_cti    ),
2452
        .s5_bte_o(  m1s5_bte    ),
2453
                .s6_data_i(     m1s6_data_i     ),
2454
                .s6_data_o(     m1s6_data_o     ),
2455
                .s6_addr_o(     m1s6_addr       ),
2456
                .s6_sel_o(      m1s6_sel        ),
2457
                .s6_we_o(       m1s6_we         ),
2458
                .s6_cyc_o(      m1s6_cyc        ),
2459
                .s6_stb_o(      m1s6_stb        ),
2460
                .s6_ack_i(      m1s6_ack        ),
2461
                .s6_err_i(      m1s6_err        ),
2462
                .s6_rty_i(      m1s6_rty        ),
2463
        .s6_cti_o(  m1s6_cti    ),
2464
        .s6_bte_o(  m1s6_bte    ),
2465
                .s7_data_i(     m1s7_data_i     ),
2466
                .s7_data_o(     m1s7_data_o     ),
2467
                .s7_addr_o(     m1s7_addr       ),
2468
                .s7_sel_o(      m1s7_sel        ),
2469
                .s7_we_o(       m1s7_we         ),
2470
                .s7_cyc_o(      m1s7_cyc        ),
2471
                .s7_stb_o(      m1s7_stb        ),
2472
                .s7_ack_i(      m1s7_ack        ),
2473
                .s7_err_i(      m1s7_err        ),
2474
                .s7_rty_i(      m1s7_rty        ),
2475
        .s7_cti_o(  m1s7_cti    ),
2476
        .s7_bte_o(  m1s7_bte    ),
2477
                .s8_data_i(     m1s8_data_i     ),
2478
                .s8_data_o(     m1s8_data_o     ),
2479
                .s8_addr_o(     m1s8_addr       ),
2480
                .s8_sel_o(      m1s8_sel        ),
2481
                .s8_we_o(       m1s8_we         ),
2482
                .s8_cyc_o(      m1s8_cyc        ),
2483
                .s8_stb_o(      m1s8_stb        ),
2484
                .s8_ack_i(      m1s8_ack        ),
2485
                .s8_err_i(      m1s8_err        ),
2486
                .s8_rty_i(      m1s8_rty        ),
2487
        .s8_cti_o(  m1s8_cti    ),
2488
        .s8_bte_o(  m1s8_bte    ),
2489
                .s9_data_i(     m1s9_data_i     ),
2490
                .s9_data_o(     m1s9_data_o     ),
2491
                .s9_addr_o(     m1s9_addr       ),
2492
                .s9_sel_o(      m1s9_sel        ),
2493
                .s9_we_o(       m1s9_we         ),
2494
                .s9_cyc_o(      m1s9_cyc        ),
2495
                .s9_stb_o(      m1s9_stb        ),
2496
                .s9_ack_i(      m1s9_ack        ),
2497
                .s9_err_i(      m1s9_err        ),
2498
                .s9_rty_i(      m1s9_rty        ),
2499
        .s9_cti_o(  m1s9_cti    ),
2500
        .s9_bte_o(  m1s9_bte    ),
2501
                .s10_data_i(    m1s10_data_i    ),
2502
                .s10_data_o(    m1s10_data_o    ),
2503
                .s10_addr_o(    m1s10_addr      ),
2504
                .s10_sel_o(     m1s10_sel       ),
2505
                .s10_we_o(      m1s10_we        ),
2506
                .s10_cyc_o(     m1s10_cyc       ),
2507
                .s10_stb_o(     m1s10_stb       ),
2508
                .s10_ack_i(     m1s10_ack       ),
2509
                .s10_err_i(     m1s10_err       ),
2510
                .s10_rty_i(     m1s10_rty       ),
2511
        .s10_cti_o( m1s10_cti   ),
2512
        .s10_bte_o( m1s10_bte   ),
2513
                .s11_data_i(    m1s11_data_i    ),
2514
                .s11_data_o(    m1s11_data_o    ),
2515
                .s11_addr_o(    m1s11_addr      ),
2516
                .s11_sel_o(     m1s11_sel       ),
2517
                .s11_we_o(      m1s11_we        ),
2518
                .s11_cyc_o(     m1s11_cyc       ),
2519
                .s11_stb_o(     m1s11_stb       ),
2520
                .s11_ack_i(     m1s11_ack       ),
2521
                .s11_err_i(     m1s11_err       ),
2522
                .s11_rty_i(     m1s11_rty       ),
2523
        .s11_cti_o( m1s11_cti   ),
2524
        .s11_bte_o( m1s11_bte   ),
2525
                .s12_data_i(    m1s12_data_i    ),
2526
                .s12_data_o(    m1s12_data_o    ),
2527
                .s12_addr_o(    m1s12_addr      ),
2528
                .s12_sel_o(     m1s12_sel       ),
2529
                .s12_we_o(      m1s12_we        ),
2530
                .s12_cyc_o(     m1s12_cyc       ),
2531
                .s12_stb_o(     m1s12_stb       ),
2532
                .s12_ack_i(     m1s12_ack       ),
2533
                .s12_err_i(     m1s12_err       ),
2534
                .s12_rty_i(     m1s12_rty       ),
2535
        .s12_cti_o( m1s12_cti   ),
2536
        .s12_bte_o( m1s12_bte   ),
2537
                .s13_data_i(    m1s13_data_i    ),
2538
                .s13_data_o(    m1s13_data_o    ),
2539
                .s13_addr_o(    m1s13_addr      ),
2540
                .s13_sel_o(     m1s13_sel       ),
2541
                .s13_we_o(      m1s13_we        ),
2542
                .s13_cyc_o(     m1s13_cyc       ),
2543
                .s13_stb_o(     m1s13_stb       ),
2544
                .s13_ack_i(     m1s13_ack       ),
2545
                .s13_err_i(     m1s13_err       ),
2546
                .s13_rty_i(     m1s13_rty       ),
2547
        .s13_cti_o( m1s13_cti   ),
2548
        .s13_bte_o( m1s13_bte   ),
2549
                .s14_data_i(    m1s14_data_i    ),
2550
                .s14_data_o(    m1s14_data_o    ),
2551
                .s14_addr_o(    m1s14_addr      ),
2552
                .s14_sel_o(     m1s14_sel       ),
2553
                .s14_we_o(      m1s14_we        ),
2554
                .s14_cyc_o(     m1s14_cyc       ),
2555
                .s14_stb_o(     m1s14_stb       ),
2556
                .s14_ack_i(     m1s14_ack       ),
2557
                .s14_err_i(     m1s14_err       ),
2558
                .s14_rty_i(     m1s14_rty       ),
2559
        .s14_cti_o( m1s14_cti   ),
2560
        .s14_bte_o( m1s14_bte   ),
2561
                .s15_data_i(    m1s15_data_i    ),
2562
                .s15_data_o(    m1s15_data_o    ),
2563
                .s15_addr_o(    m1s15_addr      ),
2564
                .s15_sel_o(     m1s15_sel       ),
2565
                .s15_we_o(      m1s15_we        ),
2566
                .s15_cyc_o(     m1s15_cyc       ),
2567
                .s15_stb_o(     m1s15_stb       ),
2568
                .s15_ack_i(     m1s15_ack       ),
2569
                .s15_err_i(     m1s15_err       ),
2570
                .s15_rty_i(     m1s15_rty       ),
2571
        .s15_cti_o( m1s15_cti   ),
2572
        .s15_bte_o( m1s15_bte   )
2573
                );
2574
 
2575
wb_conmax_master_if #(dw,aw,sw) m2(
2576
                .clk_i(         clk_i           ),
2577
                .rst_i(         rst_i           ),
2578
                .wb_data_i(     m2_data_i       ),
2579
                .wb_data_o(     m2_data_o       ),
2580
                .wb_addr_i(     m2_addr_i       ),
2581
                .wb_sel_i(      m2_sel_i        ),
2582
                .wb_we_i(       m2_we_i         ),
2583
                .wb_cyc_i(      m2_cyc_i        ),
2584
                .wb_stb_i(      m2_stb_i        ),
2585
                .wb_ack_o(      m2_ack_o        ),
2586
                .wb_err_o(      m2_err_o        ),
2587
                .wb_rty_o(      m2_rty_o        ),
2588
        .wb_cti_i(  m2_cti_i    ),
2589
        .wb_bte_i(  m2_bte_i    ),
2590
                .s0_data_i(     m2s0_data_i     ),
2591
                .s0_data_o(     m2s0_data_o     ),
2592
                .s0_addr_o(     m2s0_addr       ),
2593
                .s0_sel_o(      m2s0_sel        ),
2594
                .s0_we_o(       m2s0_we         ),
2595
                .s0_cyc_o(      m2s0_cyc        ),
2596
                .s0_stb_o(      m2s0_stb        ),
2597
                .s0_ack_i(      m2s0_ack        ),
2598
                .s0_err_i(      m2s0_err        ),
2599
                .s0_rty_i(      m2s0_rty        ),
2600
        .s0_cti_o(  m2s0_cti    ),
2601
        .s0_bte_o(  m2s0_bte    ),
2602
                .s1_data_i(     m2s1_data_i     ),
2603
                .s1_data_o(     m2s1_data_o     ),
2604
                .s1_addr_o(     m2s1_addr       ),
2605
                .s1_sel_o(      m2s1_sel        ),
2606
                .s1_we_o(       m2s1_we         ),
2607
                .s1_cyc_o(      m2s1_cyc        ),
2608
                .s1_stb_o(      m2s1_stb        ),
2609
                .s1_ack_i(      m2s1_ack        ),
2610
                .s1_err_i(      m2s1_err        ),
2611
                .s1_rty_i(      m2s1_rty        ),
2612
        .s1_cti_o(  m2s1_cti    ),
2613
        .s1_bte_o(  m2s1_bte    ),
2614
                .s2_data_i(     m2s2_data_i     ),
2615
                .s2_data_o(     m2s2_data_o     ),
2616
                .s2_addr_o(     m2s2_addr       ),
2617
                .s2_sel_o(      m2s2_sel        ),
2618
                .s2_we_o(       m2s2_we         ),
2619
                .s2_cyc_o(      m2s2_cyc        ),
2620
                .s2_stb_o(      m2s2_stb        ),
2621
                .s2_ack_i(      m2s2_ack        ),
2622
                .s2_err_i(      m2s2_err        ),
2623
                .s2_rty_i(      m2s2_rty        ),
2624
        .s2_cti_o(  m2s2_cti    ),
2625
        .s2_bte_o(  m2s2_bte    ),
2626
                .s3_data_i(     m2s3_data_i     ),
2627
                .s3_data_o(     m2s3_data_o     ),
2628
                .s3_addr_o(     m2s3_addr       ),
2629
                .s3_sel_o(      m2s3_sel        ),
2630
                .s3_we_o(       m2s3_we         ),
2631
                .s3_cyc_o(      m2s3_cyc        ),
2632
                .s3_stb_o(      m2s3_stb        ),
2633
                .s3_ack_i(      m2s3_ack        ),
2634
                .s3_err_i(      m2s3_err        ),
2635
                .s3_rty_i(      m2s3_rty        ),
2636
        .s3_cti_o(  m2s3_cti    ),
2637
        .s3_bte_o(  m2s3_bte    ),
2638
                .s4_data_i(     m2s4_data_i     ),
2639
                .s4_data_o(     m2s4_data_o     ),
2640
                .s4_addr_o(     m2s4_addr       ),
2641
                .s4_sel_o(      m2s4_sel        ),
2642
                .s4_we_o(       m2s4_we         ),
2643
                .s4_cyc_o(      m2s4_cyc        ),
2644
                .s4_stb_o(      m2s4_stb        ),
2645
                .s4_ack_i(      m2s4_ack        ),
2646
                .s4_err_i(      m2s4_err        ),
2647
                .s4_rty_i(      m2s4_rty        ),
2648
        .s4_cti_o(  m2s4_cti    ),
2649
        .s4_bte_o(  m2s4_bte    ),
2650
                .s5_data_i(     m2s5_data_i     ),
2651
                .s5_data_o(     m2s5_data_o     ),
2652
                .s5_addr_o(     m2s5_addr       ),
2653
                .s5_sel_o(      m2s5_sel        ),
2654
                .s5_we_o(       m2s5_we         ),
2655
                .s5_cyc_o(      m2s5_cyc        ),
2656
                .s5_stb_o(      m2s5_stb        ),
2657
                .s5_ack_i(      m2s5_ack        ),
2658
                .s5_err_i(      m2s5_err        ),
2659
                .s5_rty_i(      m2s5_rty        ),
2660
        .s5_cti_o(  m2s5_cti    ),
2661
        .s5_bte_o(  m2s5_bte    ),
2662
                .s6_data_i(     m2s6_data_i     ),
2663
                .s6_data_o(     m2s6_data_o     ),
2664
                .s6_addr_o(     m2s6_addr       ),
2665
                .s6_sel_o(      m2s6_sel        ),
2666
                .s6_we_o(       m2s6_we         ),
2667
                .s6_cyc_o(      m2s6_cyc        ),
2668
                .s6_stb_o(      m2s6_stb        ),
2669
                .s6_ack_i(      m2s6_ack        ),
2670
                .s6_err_i(      m2s6_err        ),
2671
                .s6_rty_i(      m2s6_rty        ),
2672
        .s6_cti_o(  m2s6_cti    ),
2673
        .s6_bte_o(  m2s6_bte    ),
2674
                .s7_data_i(     m2s7_data_i     ),
2675
                .s7_data_o(     m2s7_data_o     ),
2676
                .s7_addr_o(     m2s7_addr       ),
2677
                .s7_sel_o(      m2s7_sel        ),
2678
                .s7_we_o(       m2s7_we         ),
2679
                .s7_cyc_o(      m2s7_cyc        ),
2680
                .s7_stb_o(      m2s7_stb        ),
2681
                .s7_ack_i(      m2s7_ack        ),
2682
                .s7_err_i(      m2s7_err        ),
2683
                .s7_rty_i(      m2s7_rty        ),
2684
        .s7_cti_o(  m2s7_cti    ),
2685
        .s7_bte_o(  m2s7_bte    ),
2686
                .s8_data_i(     m2s8_data_i     ),
2687
                .s8_data_o(     m2s8_data_o     ),
2688
                .s8_addr_o(     m2s8_addr       ),
2689
                .s8_sel_o(      m2s8_sel        ),
2690
                .s8_we_o(       m2s8_we         ),
2691
                .s8_cyc_o(      m2s8_cyc        ),
2692
                .s8_stb_o(      m2s8_stb        ),
2693
                .s8_ack_i(      m2s8_ack        ),
2694
                .s8_err_i(      m2s8_err        ),
2695
                .s8_rty_i(      m2s8_rty        ),
2696
        .s8_cti_o(  m2s8_cti    ),
2697
        .s8_bte_o(  m2s8_bte    ),
2698
                .s9_data_i(     m2s9_data_i     ),
2699
                .s9_data_o(     m2s9_data_o     ),
2700
                .s9_addr_o(     m2s9_addr       ),
2701
                .s9_sel_o(      m2s9_sel        ),
2702
                .s9_we_o(       m2s9_we         ),
2703
                .s9_cyc_o(      m2s9_cyc        ),
2704
                .s9_stb_o(      m2s9_stb        ),
2705
                .s9_ack_i(      m2s9_ack        ),
2706
                .s9_err_i(      m2s9_err        ),
2707
                .s9_rty_i(      m2s9_rty        ),
2708
        .s9_cti_o(  m2s9_cti    ),
2709
        .s9_bte_o(  m2s9_bte    ),
2710
                .s10_data_i(    m2s10_data_i    ),
2711
                .s10_data_o(    m2s10_data_o    ),
2712
                .s10_addr_o(    m2s10_addr      ),
2713
                .s10_sel_o(     m2s10_sel       ),
2714
                .s10_we_o(      m2s10_we        ),
2715
                .s10_cyc_o(     m2s10_cyc       ),
2716
                .s10_stb_o(     m2s10_stb       ),
2717
                .s10_ack_i(     m2s10_ack       ),
2718
                .s10_err_i(     m2s10_err       ),
2719
                .s10_rty_i(     m2s10_rty       ),
2720
        .s10_cti_o( m2s10_cti   ),
2721
        .s10_bte_o( m2s10_bte   ),
2722
                .s11_data_i(    m2s11_data_i    ),
2723
                .s11_data_o(    m2s11_data_o    ),
2724
                .s11_addr_o(    m2s11_addr      ),
2725
                .s11_sel_o(     m2s11_sel       ),
2726
                .s11_we_o(      m2s11_we        ),
2727
                .s11_cyc_o(     m2s11_cyc       ),
2728
                .s11_stb_o(     m2s11_stb       ),
2729
                .s11_ack_i(     m2s11_ack       ),
2730
                .s11_err_i(     m2s11_err       ),
2731
                .s11_rty_i(     m2s11_rty       ),
2732
        .s11_cti_o( m2s11_cti   ),
2733
        .s11_bte_o( m2s11_bte   ),
2734
                .s12_data_i(    m2s12_data_i    ),
2735
                .s12_data_o(    m2s12_data_o    ),
2736
                .s12_addr_o(    m2s12_addr      ),
2737
                .s12_sel_o(     m2s12_sel       ),
2738
                .s12_we_o(      m2s12_we        ),
2739
                .s12_cyc_o(     m2s12_cyc       ),
2740
                .s12_stb_o(     m2s12_stb       ),
2741
                .s12_ack_i(     m2s12_ack       ),
2742
                .s12_err_i(     m2s12_err       ),
2743
                .s12_rty_i(     m2s12_rty       ),
2744
        .s12_cti_o( m2s12_cti   ),
2745
        .s12_bte_o( m2s12_bte   ),
2746
                .s13_data_i(    m2s13_data_i    ),
2747
                .s13_data_o(    m2s13_data_o    ),
2748
                .s13_addr_o(    m2s13_addr      ),
2749
                .s13_sel_o(     m2s13_sel       ),
2750
                .s13_we_o(      m2s13_we        ),
2751
                .s13_cyc_o(     m2s13_cyc       ),
2752
                .s13_stb_o(     m2s13_stb       ),
2753
                .s13_ack_i(     m2s13_ack       ),
2754
                .s13_err_i(     m2s13_err       ),
2755
                .s13_rty_i(     m2s13_rty       ),
2756
        .s13_cti_o( m2s13_cti   ),
2757
        .s13_bte_o( m2s13_bte   ),
2758
                .s14_data_i(    m2s14_data_i    ),
2759
                .s14_data_o(    m2s14_data_o    ),
2760
                .s14_addr_o(    m2s14_addr      ),
2761
                .s14_sel_o(     m2s14_sel       ),
2762
                .s14_we_o(      m2s14_we        ),
2763
                .s14_cyc_o(     m2s14_cyc       ),
2764
                .s14_stb_o(     m2s14_stb       ),
2765
                .s14_ack_i(     m2s14_ack       ),
2766
                .s14_err_i(     m2s14_err       ),
2767
                .s14_rty_i(     m2s14_rty       ),
2768
        .s14_cti_o( m2s14_cti   ),
2769
        .s14_bte_o( m2s14_bte   ),
2770
                .s15_data_i(    m2s15_data_i    ),
2771
                .s15_data_o(    m2s15_data_o    ),
2772
                .s15_addr_o(    m2s15_addr      ),
2773
                .s15_sel_o(     m2s15_sel       ),
2774
                .s15_we_o(      m2s15_we        ),
2775
                .s15_cyc_o(     m2s15_cyc       ),
2776
                .s15_stb_o(     m2s15_stb       ),
2777
                .s15_ack_i(     m2s15_ack       ),
2778
                .s15_err_i(     m2s15_err       ),
2779
                .s15_rty_i(     m2s15_rty       ),
2780
        .s15_cti_o( m2s15_cti   ),
2781
        .s15_bte_o( m2s15_bte   )
2782
                );
2783
 
2784
wb_conmax_master_if #(dw,aw,sw) m3(
2785
                .clk_i(         clk_i           ),
2786
                .rst_i(         rst_i           ),
2787
                .wb_data_i(     m3_data_i       ),
2788
                .wb_data_o(     m3_data_o       ),
2789
                .wb_addr_i(     m3_addr_i       ),
2790
                .wb_sel_i(      m3_sel_i        ),
2791
                .wb_we_i(       m3_we_i         ),
2792
                .wb_cyc_i(      m3_cyc_i        ),
2793
                .wb_stb_i(      m3_stb_i        ),
2794
                .wb_ack_o(      m3_ack_o        ),
2795
                .wb_err_o(      m3_err_o        ),
2796
                .wb_rty_o(      m3_rty_o        ),
2797
        .wb_cti_i(  m3_cti_i    ),
2798
        .wb_bte_i(  m3_bte_i    ),
2799
                .s0_data_i(     m3s0_data_i     ),
2800
                .s0_data_o(     m3s0_data_o     ),
2801
                .s0_addr_o(     m3s0_addr       ),
2802
                .s0_sel_o(      m3s0_sel        ),
2803
                .s0_we_o(       m3s0_we         ),
2804
                .s0_cyc_o(      m3s0_cyc        ),
2805
                .s0_stb_o(      m3s0_stb        ),
2806
                .s0_ack_i(      m3s0_ack        ),
2807
                .s0_err_i(      m3s0_err        ),
2808
                .s0_rty_i(      m3s0_rty        ),
2809
        .s0_cti_o(  m3s0_cti    ),
2810
        .s0_bte_o(  m3s0_bte    ),
2811
                .s1_data_i(     m3s1_data_i     ),
2812
                .s1_data_o(     m3s1_data_o     ),
2813
                .s1_addr_o(     m3s1_addr       ),
2814
                .s1_sel_o(      m3s1_sel        ),
2815
                .s1_we_o(       m3s1_we         ),
2816
                .s1_cyc_o(      m3s1_cyc        ),
2817
                .s1_stb_o(      m3s1_stb        ),
2818
                .s1_ack_i(      m3s1_ack        ),
2819
                .s1_err_i(      m3s1_err        ),
2820
                .s1_rty_i(      m3s1_rty        ),
2821
        .s1_cti_o(  m3s1_cti    ),
2822
        .s1_bte_o(  m3s1_bte    ),
2823
                .s2_data_i(     m3s2_data_i     ),
2824
                .s2_data_o(     m3s2_data_o     ),
2825
                .s2_addr_o(     m3s2_addr       ),
2826
                .s2_sel_o(      m3s2_sel        ),
2827
                .s2_we_o(       m3s2_we         ),
2828
                .s2_cyc_o(      m3s2_cyc        ),
2829
                .s2_stb_o(      m3s2_stb        ),
2830
                .s2_ack_i(      m3s2_ack        ),
2831
                .s2_err_i(      m3s2_err        ),
2832
                .s2_rty_i(      m3s2_rty        ),
2833
        .s2_cti_o(  m3s2_cti    ),
2834
        .s2_bte_o(  m3s2_bte    ),
2835
                .s3_data_i(     m3s3_data_i     ),
2836
                .s3_data_o(     m3s3_data_o     ),
2837
                .s3_addr_o(     m3s3_addr       ),
2838
                .s3_sel_o(      m3s3_sel        ),
2839
                .s3_we_o(       m3s3_we         ),
2840
                .s3_cyc_o(      m3s3_cyc        ),
2841
                .s3_stb_o(      m3s3_stb        ),
2842
                .s3_ack_i(      m3s3_ack        ),
2843
                .s3_err_i(      m3s3_err        ),
2844
                .s3_rty_i(      m3s3_rty        ),
2845
        .s3_cti_o(  m3s3_cti    ),
2846
        .s3_bte_o(  m3s3_bte    ),
2847
                .s4_data_i(     m3s4_data_i     ),
2848
                .s4_data_o(     m3s4_data_o     ),
2849
                .s4_addr_o(     m3s4_addr       ),
2850
                .s4_sel_o(      m3s4_sel        ),
2851
                .s4_we_o(       m3s4_we         ),
2852
                .s4_cyc_o(      m3s4_cyc        ),
2853
                .s4_stb_o(      m3s4_stb        ),
2854
                .s4_ack_i(      m3s4_ack        ),
2855
                .s4_err_i(      m3s4_err        ),
2856
                .s4_rty_i(      m3s4_rty        ),
2857
        .s4_cti_o(  m3s4_cti    ),
2858
        .s4_bte_o(  m3s4_bte    ),
2859
                .s5_data_i(     m3s5_data_i     ),
2860
                .s5_data_o(     m3s5_data_o     ),
2861
                .s5_addr_o(     m3s5_addr       ),
2862
                .s5_sel_o(      m3s5_sel        ),
2863
                .s5_we_o(       m3s5_we         ),
2864
                .s5_cyc_o(      m3s5_cyc        ),
2865
                .s5_stb_o(      m3s5_stb        ),
2866
                .s5_ack_i(      m3s5_ack        ),
2867
                .s5_err_i(      m3s5_err        ),
2868
                .s5_rty_i(      m3s5_rty        ),
2869
        .s5_cti_o(  m3s5_cti    ),
2870
        .s5_bte_o(  m3s5_bte    ),
2871
                .s6_data_i(     m3s6_data_i     ),
2872
                .s6_data_o(     m3s6_data_o     ),
2873
                .s6_addr_o(     m3s6_addr       ),
2874
                .s6_sel_o(      m3s6_sel        ),
2875
                .s6_we_o(       m3s6_we         ),
2876
                .s6_cyc_o(      m3s6_cyc        ),
2877
                .s6_stb_o(      m3s6_stb        ),
2878
                .s6_ack_i(      m3s6_ack        ),
2879
                .s6_err_i(      m3s6_err        ),
2880
                .s6_rty_i(      m3s6_rty        ),
2881
        .s6_cti_o(  m3s6_cti    ),
2882
        .s6_bte_o(  m3s6_bte    ),
2883
                .s7_data_i(     m3s7_data_i     ),
2884
                .s7_data_o(     m3s7_data_o     ),
2885
                .s7_addr_o(     m3s7_addr       ),
2886
                .s7_sel_o(      m3s7_sel        ),
2887
                .s7_we_o(       m3s7_we         ),
2888
                .s7_cyc_o(      m3s7_cyc        ),
2889
                .s7_stb_o(      m3s7_stb        ),
2890
                .s7_ack_i(      m3s7_ack        ),
2891
                .s7_err_i(      m3s7_err        ),
2892
                .s7_rty_i(      m3s7_rty        ),
2893
        .s7_cti_o(  m3s7_cti    ),
2894
        .s7_bte_o(  m3s7_bte    ),
2895
                .s8_data_i(     m3s8_data_i     ),
2896
                .s8_data_o(     m3s8_data_o     ),
2897
                .s8_addr_o(     m3s8_addr       ),
2898
                .s8_sel_o(      m3s8_sel        ),
2899
                .s8_we_o(       m3s8_we         ),
2900
                .s8_cyc_o(      m3s8_cyc        ),
2901
                .s8_stb_o(      m3s8_stb        ),
2902
                .s8_ack_i(      m3s8_ack        ),
2903
                .s8_err_i(      m3s8_err        ),
2904
                .s8_rty_i(      m3s8_rty        ),
2905
        .s8_cti_o(  m3s8_cti    ),
2906
        .s8_bte_o(  m3s8_bte    ),
2907
                .s9_data_i(     m3s9_data_i     ),
2908
                .s9_data_o(     m3s9_data_o     ),
2909
                .s9_addr_o(     m3s9_addr       ),
2910
                .s9_sel_o(      m3s9_sel        ),
2911
                .s9_we_o(       m3s9_we         ),
2912
                .s9_cyc_o(      m3s9_cyc        ),
2913
                .s9_stb_o(      m3s9_stb        ),
2914
                .s9_ack_i(      m3s9_ack        ),
2915
                .s9_err_i(      m3s9_err        ),
2916
                .s9_rty_i(      m3s9_rty        ),
2917
        .s9_cti_o(  m3s9_cti    ),
2918
        .s9_bte_o(  m3s9_bte    ),
2919
                .s10_data_i(    m3s10_data_i    ),
2920
                .s10_data_o(    m3s10_data_o    ),
2921
                .s10_addr_o(    m3s10_addr      ),
2922
                .s10_sel_o(     m3s10_sel       ),
2923
                .s10_we_o(      m3s10_we        ),
2924
                .s10_cyc_o(     m3s10_cyc       ),
2925
                .s10_stb_o(     m3s10_stb       ),
2926
                .s10_ack_i(     m3s10_ack       ),
2927
                .s10_err_i(     m3s10_err       ),
2928
                .s10_rty_i(     m3s10_rty       ),
2929
        .s10_cti_o( m3s10_cti   ),
2930
        .s10_bte_o( m3s10_bte   ),
2931
                .s11_data_i(    m3s11_data_i    ),
2932
                .s11_data_o(    m3s11_data_o    ),
2933
                .s11_addr_o(    m3s11_addr      ),
2934
                .s11_sel_o(     m3s11_sel       ),
2935
                .s11_we_o(      m3s11_we        ),
2936
                .s11_cyc_o(     m3s11_cyc       ),
2937
                .s11_stb_o(     m3s11_stb       ),
2938
                .s11_ack_i(     m3s11_ack       ),
2939
                .s11_err_i(     m3s11_err       ),
2940
                .s11_rty_i(     m3s11_rty       ),
2941
        .s11_cti_o( m3s11_cti   ),
2942
        .s11_bte_o( m3s11_bte   ),
2943
                .s12_data_i(    m3s12_data_i    ),
2944
                .s12_data_o(    m3s12_data_o    ),
2945
                .s12_addr_o(    m3s12_addr      ),
2946
                .s12_sel_o(     m3s12_sel       ),
2947
                .s12_we_o(      m3s12_we        ),
2948
                .s12_cyc_o(     m3s12_cyc       ),
2949
                .s12_stb_o(     m3s12_stb       ),
2950
                .s12_ack_i(     m3s12_ack       ),
2951
                .s12_err_i(     m3s12_err       ),
2952
                .s12_rty_i(     m3s12_rty       ),
2953
        .s12_cti_o( m3s12_cti   ),
2954
        .s12_bte_o( m3s12_bte   ),
2955
                .s13_data_i(    m3s13_data_i    ),
2956
                .s13_data_o(    m3s13_data_o    ),
2957
                .s13_addr_o(    m3s13_addr      ),
2958
                .s13_sel_o(     m3s13_sel       ),
2959
                .s13_we_o(      m3s13_we        ),
2960
                .s13_cyc_o(     m3s13_cyc       ),
2961
                .s13_stb_o(     m3s13_stb       ),
2962
                .s13_ack_i(     m3s13_ack       ),
2963
                .s13_err_i(     m3s13_err       ),
2964
                .s13_rty_i(     m3s13_rty       ),
2965
        .s13_cti_o( m3s13_cti   ),
2966
        .s13_bte_o( m3s13_bte   ),
2967
                .s14_data_i(    m3s14_data_i    ),
2968
                .s14_data_o(    m3s14_data_o    ),
2969
                .s14_addr_o(    m3s14_addr      ),
2970
                .s14_sel_o(     m3s14_sel       ),
2971
                .s14_we_o(      m3s14_we        ),
2972
                .s14_cyc_o(     m3s14_cyc       ),
2973
                .s14_stb_o(     m3s14_stb       ),
2974
                .s14_ack_i(     m3s14_ack       ),
2975
                .s14_err_i(     m3s14_err       ),
2976
                .s14_rty_i(     m3s14_rty       ),
2977
        .s14_cti_o( m3s14_cti   ),
2978
        .s14_bte_o( m3s14_bte   ),
2979
                .s15_data_i(    m3s15_data_i    ),
2980
                .s15_data_o(    m3s15_data_o    ),
2981
                .s15_addr_o(    m3s15_addr      ),
2982
                .s15_sel_o(     m3s15_sel       ),
2983
                .s15_we_o(      m3s15_we        ),
2984
                .s15_cyc_o(     m3s15_cyc       ),
2985
                .s15_stb_o(     m3s15_stb       ),
2986
                .s15_ack_i(     m3s15_ack       ),
2987
                .s15_err_i(     m3s15_err       ),
2988
                .s15_rty_i(     m3s15_rty       ),
2989
        .s15_cti_o( m3s15_cti   ),
2990
        .s15_bte_o( m3s15_bte   )
2991
                );
2992
 
2993
wb_conmax_master_if #(dw,aw,sw) m4(
2994
                .clk_i(         clk_i           ),
2995
                .rst_i(         rst_i           ),
2996
                .wb_data_i(     m4_data_i       ),
2997
                .wb_data_o(     m4_data_o       ),
2998
                .wb_addr_i(     m4_addr_i       ),
2999
                .wb_sel_i(      m4_sel_i        ),
3000
                .wb_we_i(       m4_we_i         ),
3001
                .wb_cyc_i(      m4_cyc_i        ),
3002
                .wb_stb_i(      m4_stb_i        ),
3003
                .wb_ack_o(      m4_ack_o        ),
3004
                .wb_err_o(      m4_err_o        ),
3005
                .wb_rty_o(      m4_rty_o        ),
3006
        .wb_cti_i(  m4_cti_i    ),
3007
        .wb_bte_i(  m4_bte_i    ),
3008
                .s0_data_i(     m4s0_data_i     ),
3009
                .s0_data_o(     m4s0_data_o     ),
3010
                .s0_addr_o(     m4s0_addr       ),
3011
                .s0_sel_o(      m4s0_sel        ),
3012
                .s0_we_o(       m4s0_we         ),
3013
                .s0_cyc_o(      m4s0_cyc        ),
3014
                .s0_stb_o(      m4s0_stb        ),
3015
                .s0_ack_i(      m4s0_ack        ),
3016
                .s0_err_i(      m4s0_err        ),
3017
                .s0_rty_i(      m4s0_rty        ),
3018
        .s0_cti_o(  m4s0_cti    ),
3019
        .s0_bte_o(  m4s0_bte    ),
3020
                .s1_data_i(     m4s1_data_i     ),
3021
                .s1_data_o(     m4s1_data_o     ),
3022
                .s1_addr_o(     m4s1_addr       ),
3023
                .s1_sel_o(      m4s1_sel        ),
3024
                .s1_we_o(       m4s1_we         ),
3025
                .s1_cyc_o(      m4s1_cyc        ),
3026
                .s1_stb_o(      m4s1_stb        ),
3027
                .s1_ack_i(      m4s1_ack        ),
3028
                .s1_err_i(      m4s1_err        ),
3029
                .s1_rty_i(      m4s1_rty        ),
3030
        .s1_cti_o(  m4s1_cti    ),
3031
        .s1_bte_o(  m4s1_bte    ),
3032
                .s2_data_i(     m4s2_data_i     ),
3033
                .s2_data_o(     m4s2_data_o     ),
3034
                .s2_addr_o(     m4s2_addr       ),
3035
                .s2_sel_o(      m4s2_sel        ),
3036
                .s2_we_o(       m4s2_we         ),
3037
                .s2_cyc_o(      m4s2_cyc        ),
3038
                .s2_stb_o(      m4s2_stb        ),
3039
                .s2_ack_i(      m4s2_ack        ),
3040
                .s2_err_i(      m4s2_err        ),
3041
                .s2_rty_i(      m4s2_rty        ),
3042
        .s2_cti_o(  m4s2_cti    ),
3043
        .s2_bte_o(  m4s2_bte    ),
3044
                .s3_data_i(     m4s3_data_i     ),
3045
                .s3_data_o(     m4s3_data_o     ),
3046
                .s3_addr_o(     m4s3_addr       ),
3047
                .s3_sel_o(      m4s3_sel        ),
3048
                .s3_we_o(       m4s3_we         ),
3049
                .s3_cyc_o(      m4s3_cyc        ),
3050
                .s3_stb_o(      m4s3_stb        ),
3051
                .s3_ack_i(      m4s3_ack        ),
3052
                .s3_err_i(      m4s3_err        ),
3053
                .s3_rty_i(      m4s3_rty        ),
3054
        .s3_cti_o(  m4s3_cti    ),
3055
        .s3_bte_o(  m4s3_bte    ),
3056
                .s4_data_i(     m4s4_data_i     ),
3057
                .s4_data_o(     m4s4_data_o     ),
3058
                .s4_addr_o(     m4s4_addr       ),
3059
                .s4_sel_o(      m4s4_sel        ),
3060
                .s4_we_o(       m4s4_we         ),
3061
                .s4_cyc_o(      m4s4_cyc        ),
3062
                .s4_stb_o(      m4s4_stb        ),
3063
                .s4_ack_i(      m4s4_ack        ),
3064
                .s4_err_i(      m4s4_err        ),
3065
                .s4_rty_i(      m4s4_rty        ),
3066
        .s4_cti_o(  m4s4_cti    ),
3067
        .s4_bte_o(  m4s4_bte    ),
3068
                .s5_data_i(     m4s5_data_i     ),
3069
                .s5_data_o(     m4s5_data_o     ),
3070
                .s5_addr_o(     m4s5_addr       ),
3071
                .s5_sel_o(      m4s5_sel        ),
3072
                .s5_we_o(       m4s5_we         ),
3073
                .s5_cyc_o(      m4s5_cyc        ),
3074
                .s5_stb_o(      m4s5_stb        ),
3075
                .s5_ack_i(      m4s5_ack        ),
3076
                .s5_err_i(      m4s5_err        ),
3077
                .s5_rty_i(      m4s5_rty        ),
3078
        .s5_cti_o(  m4s5_cti    ),
3079
        .s5_bte_o(  m4s5_bte    ),
3080
                .s6_data_i(     m4s6_data_i     ),
3081
                .s6_data_o(     m4s6_data_o     ),
3082
                .s6_addr_o(     m4s6_addr       ),
3083
                .s6_sel_o(      m4s6_sel        ),
3084
                .s6_we_o(       m4s6_we         ),
3085
                .s6_cyc_o(      m4s6_cyc        ),
3086
                .s6_stb_o(      m4s6_stb        ),
3087
                .s6_ack_i(      m4s6_ack        ),
3088
                .s6_err_i(      m4s6_err        ),
3089
                .s6_rty_i(      m4s6_rty        ),
3090
        .s6_cti_o(  m4s6_cti    ),
3091
        .s6_bte_o(  m4s6_bte    ),
3092
                .s7_data_i(     m4s7_data_i     ),
3093
                .s7_data_o(     m4s7_data_o     ),
3094
                .s7_addr_o(     m4s7_addr       ),
3095
                .s7_sel_o(      m4s7_sel        ),
3096
                .s7_we_o(       m4s7_we         ),
3097
                .s7_cyc_o(      m4s7_cyc        ),
3098
                .s7_stb_o(      m4s7_stb        ),
3099
                .s7_ack_i(      m4s7_ack        ),
3100
                .s7_err_i(      m4s7_err        ),
3101
                .s7_rty_i(      m4s7_rty        ),
3102
        .s7_cti_o(  m4s7_cti    ),
3103
        .s7_bte_o(  m4s7_bte    ),
3104
                .s8_data_i(     m4s8_data_i     ),
3105
                .s8_data_o(     m4s8_data_o     ),
3106
                .s8_addr_o(     m4s8_addr       ),
3107
                .s8_sel_o(      m4s8_sel        ),
3108
                .s8_we_o(       m4s8_we         ),
3109
                .s8_cyc_o(      m4s8_cyc        ),
3110
                .s8_stb_o(      m4s8_stb        ),
3111
                .s8_ack_i(      m4s8_ack        ),
3112
                .s8_err_i(      m4s8_err        ),
3113
                .s8_rty_i(      m4s8_rty        ),
3114
        .s8_cti_o(  m4s8_cti    ),
3115
        .s8_bte_o(  m4s8_bte    ),
3116
                .s9_data_i(     m4s9_data_i     ),
3117
                .s9_data_o(     m4s9_data_o     ),
3118
                .s9_addr_o(     m4s9_addr       ),
3119
                .s9_sel_o(      m4s9_sel        ),
3120
                .s9_we_o(       m4s9_we         ),
3121
                .s9_cyc_o(      m4s9_cyc        ),
3122
                .s9_stb_o(      m4s9_stb        ),
3123
                .s9_ack_i(      m4s9_ack        ),
3124
                .s9_err_i(      m4s9_err        ),
3125
                .s9_rty_i(      m4s9_rty        ),
3126
        .s9_cti_o(  m4s9_cti    ),
3127
        .s9_bte_o(  m4s9_bte    ),
3128
                .s10_data_i(    m4s10_data_i    ),
3129
                .s10_data_o(    m4s10_data_o    ),
3130
                .s10_addr_o(    m4s10_addr      ),
3131
                .s10_sel_o(     m4s10_sel       ),
3132
                .s10_we_o(      m4s10_we        ),
3133
                .s10_cyc_o(     m4s10_cyc       ),
3134
                .s10_stb_o(     m4s10_stb       ),
3135
                .s10_ack_i(     m4s10_ack       ),
3136
                .s10_err_i(     m4s10_err       ),
3137
                .s10_rty_i(     m4s10_rty       ),
3138
        .s10_cti_o( m4s10_cti   ),
3139
        .s10_bte_o( m4s10_bte   ),
3140
                .s11_data_i(    m4s11_data_i    ),
3141
                .s11_data_o(    m4s11_data_o    ),
3142
                .s11_addr_o(    m4s11_addr      ),
3143
                .s11_sel_o(     m4s11_sel       ),
3144
                .s11_we_o(      m4s11_we        ),
3145
                .s11_cyc_o(     m4s11_cyc       ),
3146
                .s11_stb_o(     m4s11_stb       ),
3147
                .s11_ack_i(     m4s11_ack       ),
3148
                .s11_err_i(     m4s11_err       ),
3149
                .s11_rty_i(     m4s11_rty       ),
3150
        .s11_cti_o( m4s11_cti   ),
3151
        .s11_bte_o( m4s11_bte   ),
3152
                .s12_data_i(    m4s12_data_i    ),
3153
                .s12_data_o(    m4s12_data_o    ),
3154
                .s12_addr_o(    m4s12_addr      ),
3155
                .s12_sel_o(     m4s12_sel       ),
3156
                .s12_we_o(      m4s12_we        ),
3157
                .s12_cyc_o(     m4s12_cyc       ),
3158
                .s12_stb_o(     m4s12_stb       ),
3159
                .s12_ack_i(     m4s12_ack       ),
3160
                .s12_err_i(     m4s12_err       ),
3161
                .s12_rty_i(     m4s12_rty       ),
3162
        .s12_cti_o( m4s12_cti   ),
3163
        .s12_bte_o( m4s12_bte   ),
3164
                .s13_data_i(    m4s13_data_i    ),
3165
                .s13_data_o(    m4s13_data_o    ),
3166
                .s13_addr_o(    m4s13_addr      ),
3167
                .s13_sel_o(     m4s13_sel       ),
3168
                .s13_we_o(      m4s13_we        ),
3169
                .s13_cyc_o(     m4s13_cyc       ),
3170
                .s13_stb_o(     m4s13_stb       ),
3171
                .s13_ack_i(     m4s13_ack       ),
3172
                .s13_err_i(     m4s13_err       ),
3173
                .s13_rty_i(     m4s13_rty       ),
3174
        .s13_cti_o( m4s13_cti   ),
3175
        .s13_bte_o( m4s13_bte   ),
3176
                .s14_data_i(    m4s14_data_i    ),
3177
                .s14_data_o(    m4s14_data_o    ),
3178
                .s14_addr_o(    m4s14_addr      ),
3179
                .s14_sel_o(     m4s14_sel       ),
3180
                .s14_we_o(      m4s14_we        ),
3181
                .s14_cyc_o(     m4s14_cyc       ),
3182
                .s14_stb_o(     m4s14_stb       ),
3183
                .s14_ack_i(     m4s14_ack       ),
3184
                .s14_err_i(     m4s14_err       ),
3185
                .s14_rty_i(     m4s14_rty       ),
3186
        .s14_cti_o( m4s14_cti   ),
3187
        .s14_bte_o( m4s14_bte   ),
3188
                .s15_data_i(    m4s15_data_i    ),
3189
                .s15_data_o(    m4s15_data_o    ),
3190
                .s15_addr_o(    m4s15_addr      ),
3191
                .s15_sel_o(     m4s15_sel       ),
3192
                .s15_we_o(      m4s15_we        ),
3193
                .s15_cyc_o(     m4s15_cyc       ),
3194
                .s15_stb_o(     m4s15_stb       ),
3195
                .s15_ack_i(     m4s15_ack       ),
3196
                .s15_err_i(     m4s15_err       ),
3197
                .s15_rty_i(     m4s15_rty       ),
3198
        .s15_cti_o( m4s15_cti   ),
3199
        .s15_bte_o( m4s15_bte   )
3200
                );
3201
 
3202
wb_conmax_master_if #(dw,aw,sw) m5(
3203
                .clk_i(         clk_i           ),
3204
                .rst_i(         rst_i           ),
3205
                .wb_data_i(     m5_data_i       ),
3206
                .wb_data_o(     m5_data_o       ),
3207
                .wb_addr_i(     m5_addr_i       ),
3208
                .wb_sel_i(      m5_sel_i        ),
3209
                .wb_we_i(       m5_we_i         ),
3210
                .wb_cyc_i(      m5_cyc_i        ),
3211
                .wb_stb_i(      m5_stb_i        ),
3212
                .wb_ack_o(      m5_ack_o        ),
3213
                .wb_err_o(      m5_err_o        ),
3214
                .wb_rty_o(      m5_rty_o        ),
3215
        .wb_cti_i(  m5_cti_i    ),
3216
        .wb_bte_i(  m5_bte_i    ),
3217
                .s0_data_i(     m5s0_data_i     ),
3218
                .s0_data_o(     m5s0_data_o     ),
3219
                .s0_addr_o(     m5s0_addr       ),
3220
                .s0_sel_o(      m5s0_sel        ),
3221
                .s0_we_o(       m5s0_we         ),
3222
                .s0_cyc_o(      m5s0_cyc        ),
3223
                .s0_stb_o(      m5s0_stb        ),
3224
                .s0_ack_i(      m5s0_ack        ),
3225
                .s0_err_i(      m5s0_err        ),
3226
                .s0_rty_i(      m5s0_rty        ),
3227
        .s0_cti_o(  m5s0_cti    ),
3228
        .s0_bte_o(  m5s0_bte    ),
3229
                .s1_data_i(     m5s1_data_i     ),
3230
                .s1_data_o(     m5s1_data_o     ),
3231
                .s1_addr_o(     m5s1_addr       ),
3232
                .s1_sel_o(      m5s1_sel        ),
3233
                .s1_we_o(       m5s1_we         ),
3234
                .s1_cyc_o(      m5s1_cyc        ),
3235
                .s1_stb_o(      m5s1_stb        ),
3236
                .s1_ack_i(      m5s1_ack        ),
3237
                .s1_err_i(      m5s1_err        ),
3238
                .s1_rty_i(      m5s1_rty        ),
3239
        .s1_cti_o(  m5s1_cti    ),
3240
        .s1_bte_o(  m5s1_bte    ),
3241
                .s2_data_i(     m5s2_data_i     ),
3242
                .s2_data_o(     m5s2_data_o     ),
3243
                .s2_addr_o(     m5s2_addr       ),
3244
                .s2_sel_o(      m5s2_sel        ),
3245
                .s2_we_o(       m5s2_we         ),
3246
                .s2_cyc_o(      m5s2_cyc        ),
3247
                .s2_stb_o(      m5s2_stb        ),
3248
                .s2_ack_i(      m5s2_ack        ),
3249
                .s2_err_i(      m5s2_err        ),
3250
                .s2_rty_i(      m5s2_rty        ),
3251
        .s2_cti_o(  m5s2_cti    ),
3252
        .s2_bte_o(  m5s2_bte    ),
3253
                .s3_data_i(     m5s3_data_i     ),
3254
                .s3_data_o(     m5s3_data_o     ),
3255
                .s3_addr_o(     m5s3_addr       ),
3256
                .s3_sel_o(      m5s3_sel        ),
3257
                .s3_we_o(       m5s3_we         ),
3258
                .s3_cyc_o(      m5s3_cyc        ),
3259
                .s3_stb_o(      m5s3_stb        ),
3260
                .s3_ack_i(      m5s3_ack        ),
3261
                .s3_err_i(      m5s3_err        ),
3262
                .s3_rty_i(      m5s3_rty        ),
3263
        .s3_cti_o(  m5s3_cti    ),
3264
        .s3_bte_o(  m5s3_bte    ),
3265
                .s4_data_i(     m5s4_data_i     ),
3266
                .s4_data_o(     m5s4_data_o     ),
3267
                .s4_addr_o(     m5s4_addr       ),
3268
                .s4_sel_o(      m5s4_sel        ),
3269
                .s4_we_o(       m5s4_we         ),
3270
                .s4_cyc_o(      m5s4_cyc        ),
3271
                .s4_stb_o(      m5s4_stb        ),
3272
                .s4_ack_i(      m5s4_ack        ),
3273
                .s4_err_i(      m5s4_err        ),
3274
                .s4_rty_i(      m5s4_rty        ),
3275
        .s4_cti_o(  m5s4_cti    ),
3276
        .s4_bte_o(  m5s4_bte    ),
3277
                .s5_data_i(     m5s5_data_i     ),
3278
                .s5_data_o(     m5s5_data_o     ),
3279
                .s5_addr_o(     m5s5_addr       ),
3280
                .s5_sel_o(      m5s5_sel        ),
3281
                .s5_we_o(       m5s5_we         ),
3282
                .s5_cyc_o(      m5s5_cyc        ),
3283
                .s5_stb_o(      m5s5_stb        ),
3284
                .s5_ack_i(      m5s5_ack        ),
3285
                .s5_err_i(      m5s5_err        ),
3286
                .s5_rty_i(      m5s5_rty        ),
3287
        .s5_cti_o(  m5s5_cti    ),
3288
        .s5_bte_o(  m5s5_bte    ),
3289
                .s6_data_i(     m5s6_data_i     ),
3290
                .s6_data_o(     m5s6_data_o     ),
3291
                .s6_addr_o(     m5s6_addr       ),
3292
                .s6_sel_o(      m5s6_sel        ),
3293
                .s6_we_o(       m5s6_we         ),
3294
                .s6_cyc_o(      m5s6_cyc        ),
3295
                .s6_stb_o(      m5s6_stb        ),
3296
                .s6_ack_i(      m5s6_ack        ),
3297
                .s6_err_i(      m5s6_err        ),
3298
                .s6_rty_i(      m5s6_rty        ),
3299
        .s6_cti_o(  m5s6_cti    ),
3300
        .s6_bte_o(  m5s6_bte    ),
3301
                .s7_data_i(     m5s7_data_i     ),
3302
                .s7_data_o(     m5s7_data_o     ),
3303
                .s7_addr_o(     m5s7_addr       ),
3304
                .s7_sel_o(      m5s7_sel        ),
3305
                .s7_we_o(       m5s7_we         ),
3306
                .s7_cyc_o(      m5s7_cyc        ),
3307
                .s7_stb_o(      m5s7_stb        ),
3308
                .s7_ack_i(      m5s7_ack        ),
3309
                .s7_err_i(      m5s7_err        ),
3310
                .s7_rty_i(      m5s7_rty        ),
3311
        .s7_cti_o(  m5s7_cti    ),
3312
        .s7_bte_o(  m5s7_bte    ),
3313
                .s8_data_i(     m5s8_data_i     ),
3314
                .s8_data_o(     m5s8_data_o     ),
3315
                .s8_addr_o(     m5s8_addr       ),
3316
                .s8_sel_o(      m5s8_sel        ),
3317
                .s8_we_o(       m5s8_we         ),
3318
                .s8_cyc_o(      m5s8_cyc        ),
3319
                .s8_stb_o(      m5s8_stb        ),
3320
                .s8_ack_i(      m5s8_ack        ),
3321
                .s8_err_i(      m5s8_err        ),
3322
                .s8_rty_i(      m5s8_rty        ),
3323
        .s8_cti_o(  m5s8_cti    ),
3324
        .s8_bte_o(  m5s8_bte    ),
3325
                .s9_data_i(     m5s9_data_i     ),
3326
                .s9_data_o(     m5s9_data_o     ),
3327
                .s9_addr_o(     m5s9_addr       ),
3328
                .s9_sel_o(      m5s9_sel        ),
3329
                .s9_we_o(       m5s9_we         ),
3330
                .s9_cyc_o(      m5s9_cyc        ),
3331
                .s9_stb_o(      m5s9_stb        ),
3332
                .s9_ack_i(      m5s9_ack        ),
3333
                .s9_err_i(      m5s9_err        ),
3334
                .s9_rty_i(      m5s9_rty        ),
3335
        .s9_cti_o(  m5s9_cti    ),
3336
        .s9_bte_o(  m5s9_bte    ),
3337
                .s10_data_i(    m5s10_data_i    ),
3338
                .s10_data_o(    m5s10_data_o    ),
3339
                .s10_addr_o(    m5s10_addr      ),
3340
                .s10_sel_o(     m5s10_sel       ),
3341
                .s10_we_o(      m5s10_we        ),
3342
                .s10_cyc_o(     m5s10_cyc       ),
3343
                .s10_stb_o(     m5s10_stb       ),
3344
                .s10_ack_i(     m5s10_ack       ),
3345
                .s10_err_i(     m5s10_err       ),
3346
                .s10_rty_i(     m5s10_rty       ),
3347
        .s10_cti_o( m5s10_cti   ),
3348
        .s10_bte_o( m5s10_bte   ),
3349
                .s11_data_i(    m5s11_data_i    ),
3350
                .s11_data_o(    m5s11_data_o    ),
3351
                .s11_addr_o(    m5s11_addr      ),
3352
                .s11_sel_o(     m5s11_sel       ),
3353
                .s11_we_o(      m5s11_we        ),
3354
                .s11_cyc_o(     m5s11_cyc       ),
3355
                .s11_stb_o(     m5s11_stb       ),
3356
                .s11_ack_i(     m5s11_ack       ),
3357
                .s11_err_i(     m5s11_err       ),
3358
                .s11_rty_i(     m5s11_rty       ),
3359
        .s11_cti_o( m5s11_cti   ),
3360
        .s11_bte_o( m5s11_bte   ),
3361
                .s12_data_i(    m5s12_data_i    ),
3362
                .s12_data_o(    m5s12_data_o    ),
3363
                .s12_addr_o(    m5s12_addr      ),
3364
                .s12_sel_o(     m5s12_sel       ),
3365
                .s12_we_o(      m5s12_we        ),
3366
                .s12_cyc_o(     m5s12_cyc       ),
3367
                .s12_stb_o(     m5s12_stb       ),
3368
                .s12_ack_i(     m5s12_ack       ),
3369
                .s12_err_i(     m5s12_err       ),
3370
                .s12_rty_i(     m5s12_rty       ),
3371
        .s12_cti_o( m5s12_cti   ),
3372
        .s12_bte_o( m5s12_bte   ),
3373
                .s13_data_i(    m5s13_data_i    ),
3374
                .s13_data_o(    m5s13_data_o    ),
3375
                .s13_addr_o(    m5s13_addr      ),
3376
                .s13_sel_o(     m5s13_sel       ),
3377
                .s13_we_o(      m5s13_we        ),
3378
                .s13_cyc_o(     m5s13_cyc       ),
3379
                .s13_stb_o(     m5s13_stb       ),
3380
                .s13_ack_i(     m5s13_ack       ),
3381
                .s13_err_i(     m5s13_err       ),
3382
                .s13_rty_i(     m5s13_rty       ),
3383
        .s13_cti_o( m5s13_cti   ),
3384
        .s13_bte_o( m5s13_bte   ),
3385
                .s14_data_i(    m5s14_data_i    ),
3386
                .s14_data_o(    m5s14_data_o    ),
3387
                .s14_addr_o(    m5s14_addr      ),
3388
                .s14_sel_o(     m5s14_sel       ),
3389
                .s14_we_o(      m5s14_we        ),
3390
                .s14_cyc_o(     m5s14_cyc       ),
3391
                .s14_stb_o(     m5s14_stb       ),
3392
                .s14_ack_i(     m5s14_ack       ),
3393
                .s14_err_i(     m5s14_err       ),
3394
                .s14_rty_i(     m5s14_rty       ),
3395
        .s14_cti_o( m5s14_cti   ),
3396
        .s14_bte_o( m5s14_bte   ),
3397
                .s15_data_i(    m5s15_data_i    ),
3398
                .s15_data_o(    m5s15_data_o    ),
3399
                .s15_addr_o(    m5s15_addr      ),
3400
                .s15_sel_o(     m5s15_sel       ),
3401
                .s15_we_o(      m5s15_we        ),
3402
                .s15_cyc_o(     m5s15_cyc       ),
3403
                .s15_stb_o(     m5s15_stb       ),
3404
                .s15_ack_i(     m5s15_ack       ),
3405
                .s15_err_i(     m5s15_err       ),
3406
                .s15_rty_i(     m5s15_rty       ),
3407
        .s15_cti_o( m5s15_cti   ),
3408
        .s15_bte_o( m5s15_bte   )
3409
                );
3410
 
3411
wb_conmax_master_if #(dw,aw,sw) m6(
3412
                .clk_i(         clk_i           ),
3413
                .rst_i(         rst_i           ),
3414
                .wb_data_i(     m6_data_i       ),
3415
                .wb_data_o(     m6_data_o       ),
3416
                .wb_addr_i(     m6_addr_i       ),
3417
                .wb_sel_i(      m6_sel_i        ),
3418
                .wb_we_i(       m6_we_i         ),
3419
                .wb_cyc_i(      m6_cyc_i        ),
3420
                .wb_stb_i(      m6_stb_i        ),
3421
                .wb_ack_o(      m6_ack_o        ),
3422
                .wb_err_o(      m6_err_o        ),
3423
                .wb_rty_o(      m6_rty_o        ),
3424
        .wb_cti_i(  m6_cti_i    ),
3425
        .wb_bte_i(  m6_bte_i    ),
3426
                .s0_data_i(     m6s0_data_i     ),
3427
                .s0_data_o(     m6s0_data_o     ),
3428
                .s0_addr_o(     m6s0_addr       ),
3429
                .s0_sel_o(      m6s0_sel        ),
3430
                .s0_we_o(       m6s0_we         ),
3431
                .s0_cyc_o(      m6s0_cyc        ),
3432
                .s0_stb_o(      m6s0_stb        ),
3433
                .s0_ack_i(      m6s0_ack        ),
3434
                .s0_err_i(      m6s0_err        ),
3435
                .s0_rty_i(      m6s0_rty        ),
3436
        .s0_cti_o(  m6s0_cti    ),
3437
        .s0_bte_o(  m6s0_bte    ),
3438
                .s1_data_i(     m6s1_data_i     ),
3439
                .s1_data_o(     m6s1_data_o     ),
3440
                .s1_addr_o(     m6s1_addr       ),
3441
                .s1_sel_o(      m6s1_sel        ),
3442
                .s1_we_o(       m6s1_we         ),
3443
                .s1_cyc_o(      m6s1_cyc        ),
3444
                .s1_stb_o(      m6s1_stb        ),
3445
                .s1_ack_i(      m6s1_ack        ),
3446
                .s1_err_i(      m6s1_err        ),
3447
                .s1_rty_i(      m6s1_rty        ),
3448
        .s1_cti_o(  m6s1_cti    ),
3449
        .s1_bte_o(  m6s1_bte    ),
3450
                .s2_data_i(     m6s2_data_i     ),
3451
                .s2_data_o(     m6s2_data_o     ),
3452
                .s2_addr_o(     m6s2_addr       ),
3453
                .s2_sel_o(      m6s2_sel        ),
3454
                .s2_we_o(       m6s2_we         ),
3455
                .s2_cyc_o(      m6s2_cyc        ),
3456
                .s2_stb_o(      m6s2_stb        ),
3457
                .s2_ack_i(      m6s2_ack        ),
3458
                .s2_err_i(      m6s2_err        ),
3459
                .s2_rty_i(      m6s2_rty        ),
3460
        .s2_cti_o(  m6s2_cti    ),
3461
        .s2_bte_o(  m6s2_bte    ),
3462
                .s3_data_i(     m6s3_data_i     ),
3463
                .s3_data_o(     m6s3_data_o     ),
3464
                .s3_addr_o(     m6s3_addr       ),
3465
                .s3_sel_o(      m6s3_sel        ),
3466
                .s3_we_o(       m6s3_we         ),
3467
                .s3_cyc_o(      m6s3_cyc        ),
3468
                .s3_stb_o(      m6s3_stb        ),
3469
                .s3_ack_i(      m6s3_ack        ),
3470
                .s3_err_i(      m6s3_err        ),
3471
                .s3_rty_i(      m6s3_rty        ),
3472
        .s3_cti_o(  m6s3_cti    ),
3473
        .s3_bte_o(  m6s3_bte    ),
3474
                .s4_data_i(     m6s4_data_i     ),
3475
                .s4_data_o(     m6s4_data_o     ),
3476
                .s4_addr_o(     m6s4_addr       ),
3477
                .s4_sel_o(      m6s4_sel        ),
3478
                .s4_we_o(       m6s4_we         ),
3479
                .s4_cyc_o(      m6s4_cyc        ),
3480
                .s4_stb_o(      m6s4_stb        ),
3481
                .s4_ack_i(      m6s4_ack        ),
3482
                .s4_err_i(      m6s4_err        ),
3483
                .s4_rty_i(      m6s4_rty        ),
3484
        .s4_cti_o(  m6s4_cti    ),
3485
        .s4_bte_o(  m6s4_bte    ),
3486
                .s5_data_i(     m6s5_data_i     ),
3487
                .s5_data_o(     m6s5_data_o     ),
3488
                .s5_addr_o(     m6s5_addr       ),
3489
                .s5_sel_o(      m6s5_sel        ),
3490
                .s5_we_o(       m6s5_we         ),
3491
                .s5_cyc_o(      m6s5_cyc        ),
3492
                .s5_stb_o(      m6s5_stb        ),
3493
                .s5_ack_i(      m6s5_ack        ),
3494
                .s5_err_i(      m6s5_err        ),
3495
                .s5_rty_i(      m6s5_rty        ),
3496
        .s5_cti_o(  m6s5_cti    ),
3497
        .s5_bte_o(  m6s5_bte    ),
3498
                .s6_data_i(     m6s6_data_i     ),
3499
                .s6_data_o(     m6s6_data_o     ),
3500
                .s6_addr_o(     m6s6_addr       ),
3501
                .s6_sel_o(      m6s6_sel        ),
3502
                .s6_we_o(       m6s6_we         ),
3503
                .s6_cyc_o(      m6s6_cyc        ),
3504
                .s6_stb_o(      m6s6_stb        ),
3505
                .s6_ack_i(      m6s6_ack        ),
3506
                .s6_err_i(      m6s6_err        ),
3507
                .s6_rty_i(      m6s6_rty        ),
3508
        .s6_cti_o(  m6s6_cti    ),
3509
        .s6_bte_o(  m6s6_bte    ),
3510
                .s7_data_i(     m6s7_data_i     ),
3511
                .s7_data_o(     m6s7_data_o     ),
3512
                .s7_addr_o(     m6s7_addr       ),
3513
                .s7_sel_o(      m6s7_sel        ),
3514
                .s7_we_o(       m6s7_we         ),
3515
                .s7_cyc_o(      m6s7_cyc        ),
3516
                .s7_stb_o(      m6s7_stb        ),
3517
                .s7_ack_i(      m6s7_ack        ),
3518
                .s7_err_i(      m6s7_err        ),
3519
                .s7_rty_i(      m6s7_rty        ),
3520
        .s7_cti_o(  m6s7_cti    ),
3521
        .s7_bte_o(  m6s7_bte    ),
3522
                .s8_data_i(     m6s8_data_i     ),
3523
                .s8_data_o(     m6s8_data_o     ),
3524
                .s8_addr_o(     m6s8_addr       ),
3525
                .s8_sel_o(      m6s8_sel        ),
3526
                .s8_we_o(       m6s8_we         ),
3527
                .s8_cyc_o(      m6s8_cyc        ),
3528
                .s8_stb_o(      m6s8_stb        ),
3529
                .s8_ack_i(      m6s8_ack        ),
3530
                .s8_err_i(      m6s8_err        ),
3531
                .s8_rty_i(      m6s8_rty        ),
3532
        .s8_cti_o(  m6s8_cti    ),
3533
        .s8_bte_o(  m6s8_bte    ),
3534
                .s9_data_i(     m6s9_data_i     ),
3535
                .s9_data_o(     m6s9_data_o     ),
3536
                .s9_addr_o(     m6s9_addr       ),
3537
                .s9_sel_o(      m6s9_sel        ),
3538
                .s9_we_o(       m6s9_we         ),
3539
                .s9_cyc_o(      m6s9_cyc        ),
3540
                .s9_stb_o(      m6s9_stb        ),
3541
                .s9_ack_i(      m6s9_ack        ),
3542
                .s9_err_i(      m6s9_err        ),
3543
                .s9_rty_i(      m6s9_rty        ),
3544
        .s9_cti_o(  m6s9_cti    ),
3545
        .s9_bte_o(  m6s9_bte    ),
3546
                .s10_data_i(    m6s10_data_i    ),
3547
                .s10_data_o(    m6s10_data_o    ),
3548
                .s10_addr_o(    m6s10_addr      ),
3549
                .s10_sel_o(     m6s10_sel       ),
3550
                .s10_we_o(      m6s10_we        ),
3551
                .s10_cyc_o(     m6s10_cyc       ),
3552
                .s10_stb_o(     m6s10_stb       ),
3553
                .s10_ack_i(     m6s10_ack       ),
3554
                .s10_err_i(     m6s10_err       ),
3555
                .s10_rty_i(     m6s10_rty       ),
3556
        .s10_cti_o( m6s10_cti   ),
3557
        .s10_bte_o( m6s10_bte   ),
3558
                .s11_data_i(    m6s11_data_i    ),
3559
                .s11_data_o(    m6s11_data_o    ),
3560
                .s11_addr_o(    m6s11_addr      ),
3561
                .s11_sel_o(     m6s11_sel       ),
3562
                .s11_we_o(      m6s11_we        ),
3563
                .s11_cyc_o(     m6s11_cyc       ),
3564
                .s11_stb_o(     m6s11_stb       ),
3565
                .s11_ack_i(     m6s11_ack       ),
3566
                .s11_err_i(     m6s11_err       ),
3567
                .s11_rty_i(     m6s11_rty       ),
3568
        .s11_cti_o( m6s11_cti   ),
3569
        .s11_bte_o( m6s11_bte   ),
3570
                .s12_data_i(    m6s12_data_i    ),
3571
                .s12_data_o(    m6s12_data_o    ),
3572
                .s12_addr_o(    m6s12_addr      ),
3573
                .s12_sel_o(     m6s12_sel       ),
3574
                .s12_we_o(      m6s12_we        ),
3575
                .s12_cyc_o(     m6s12_cyc       ),
3576
                .s12_stb_o(     m6s12_stb       ),
3577
                .s12_ack_i(     m6s12_ack       ),
3578
                .s12_err_i(     m6s12_err       ),
3579
                .s12_rty_i(     m6s12_rty       ),
3580
        .s12_cti_o( m6s12_cti   ),
3581
        .s12_bte_o( m6s12_bte   ),
3582
                .s13_data_i(    m6s13_data_i    ),
3583
                .s13_data_o(    m6s13_data_o    ),
3584
                .s13_addr_o(    m6s13_addr      ),
3585
                .s13_sel_o(     m6s13_sel       ),
3586
                .s13_we_o(      m6s13_we        ),
3587
                .s13_cyc_o(     m6s13_cyc       ),
3588
                .s13_stb_o(     m6s13_stb       ),
3589
                .s13_ack_i(     m6s13_ack       ),
3590
                .s13_err_i(     m6s13_err       ),
3591
                .s13_rty_i(     m6s13_rty       ),
3592
        .s13_cti_o( m6s13_cti   ),
3593
        .s13_bte_o( m6s13_bte   ),
3594
                .s14_data_i(    m6s14_data_i    ),
3595
                .s14_data_o(    m6s14_data_o    ),
3596
                .s14_addr_o(    m6s14_addr      ),
3597
                .s14_sel_o(     m6s14_sel       ),
3598
                .s14_we_o(      m6s14_we        ),
3599
                .s14_cyc_o(     m6s14_cyc       ),
3600
                .s14_stb_o(     m6s14_stb       ),
3601
                .s14_ack_i(     m6s14_ack       ),
3602
                .s14_err_i(     m6s14_err       ),
3603
                .s14_rty_i(     m6s14_rty       ),
3604
        .s14_cti_o( m6s14_cti   ),
3605
        .s14_bte_o( m6s14_bte   ),
3606
                .s15_data_i(    m6s15_data_i    ),
3607
                .s15_data_o(    m6s15_data_o    ),
3608
                .s15_addr_o(    m6s15_addr      ),
3609
                .s15_sel_o(     m6s15_sel       ),
3610
                .s15_we_o(      m6s15_we        ),
3611
                .s15_cyc_o(     m6s15_cyc       ),
3612
                .s15_stb_o(     m6s15_stb       ),
3613
                .s15_ack_i(     m6s15_ack       ),
3614
                .s15_err_i(     m6s15_err       ),
3615
                .s15_rty_i(     m6s15_rty       ),
3616
        .s15_cti_o( m6s15_cti   ),
3617
        .s15_bte_o( m6s15_bte   )
3618
                );
3619
 
3620
wb_conmax_master_if #(dw,aw,sw) m7(
3621
                .clk_i(         clk_i           ),
3622
                .rst_i(         rst_i           ),
3623
                .wb_data_i(     m7_data_i       ),
3624
                .wb_data_o(     m7_data_o       ),
3625
                .wb_addr_i(     m7_addr_i       ),
3626
                .wb_sel_i(      m7_sel_i        ),
3627
                .wb_we_i(       m7_we_i         ),
3628
                .wb_cyc_i(      m7_cyc_i        ),
3629
                .wb_stb_i(      m7_stb_i        ),
3630
                .wb_ack_o(      m7_ack_o        ),
3631
                .wb_err_o(      m7_err_o        ),
3632
                .wb_rty_o(      m7_rty_o        ),
3633
        .wb_cti_i(  m7_cti_i    ),
3634
        .wb_bte_i(  m7_bte_i    ),
3635
                .s0_data_i(     m7s0_data_i     ),
3636
                .s0_data_o(     m7s0_data_o     ),
3637
                .s0_addr_o(     m7s0_addr       ),
3638
                .s0_sel_o(      m7s0_sel        ),
3639
                .s0_we_o(       m7s0_we         ),
3640
                .s0_cyc_o(      m7s0_cyc        ),
3641
                .s0_stb_o(      m7s0_stb        ),
3642
                .s0_ack_i(      m7s0_ack        ),
3643
                .s0_err_i(      m7s0_err        ),
3644
                .s0_rty_i(      m7s0_rty        ),
3645
        .s0_cti_o(  m7s0_cti    ),
3646
        .s0_bte_o(  m7s0_bte    ),
3647
                .s1_data_i(     m7s1_data_i     ),
3648
                .s1_data_o(     m7s1_data_o     ),
3649
                .s1_addr_o(     m7s1_addr       ),
3650
                .s1_sel_o(      m7s1_sel        ),
3651
                .s1_we_o(       m7s1_we         ),
3652
                .s1_cyc_o(      m7s1_cyc        ),
3653
                .s1_stb_o(      m7s1_stb        ),
3654
                .s1_ack_i(      m7s1_ack        ),
3655
                .s1_err_i(      m7s1_err        ),
3656
                .s1_rty_i(      m7s1_rty        ),
3657
        .s1_cti_o(  m7s1_cti    ),
3658
        .s1_bte_o(  m7s1_bte    ),
3659
                .s2_data_i(     m7s2_data_i     ),
3660
                .s2_data_o(     m7s2_data_o     ),
3661
                .s2_addr_o(     m7s2_addr       ),
3662
                .s2_sel_o(      m7s2_sel        ),
3663
                .s2_we_o(       m7s2_we         ),
3664
                .s2_cyc_o(      m7s2_cyc        ),
3665
                .s2_stb_o(      m7s2_stb        ),
3666
                .s2_ack_i(      m7s2_ack        ),
3667
                .s2_err_i(      m7s2_err        ),
3668
                .s2_rty_i(      m7s2_rty        ),
3669
        .s2_cti_o(  m7s2_cti    ),
3670
        .s2_bte_o(  m7s2_bte    ),
3671
                .s3_data_i(     m7s3_data_i     ),
3672
                .s3_data_o(     m7s3_data_o     ),
3673
                .s3_addr_o(     m7s3_addr       ),
3674
                .s3_sel_o(      m7s3_sel        ),
3675
                .s3_we_o(       m7s3_we         ),
3676
                .s3_cyc_o(      m7s3_cyc        ),
3677
                .s3_stb_o(      m7s3_stb        ),
3678
                .s3_ack_i(      m7s3_ack        ),
3679
                .s3_err_i(      m7s3_err        ),
3680
                .s3_rty_i(      m7s3_rty        ),
3681
        .s3_cti_o(  m7s3_cti    ),
3682
        .s3_bte_o(  m7s3_bte    ),
3683
                .s4_data_i(     m7s4_data_i     ),
3684
                .s4_data_o(     m7s4_data_o     ),
3685
                .s4_addr_o(     m7s4_addr       ),
3686
                .s4_sel_o(      m7s4_sel        ),
3687
                .s4_we_o(       m7s4_we         ),
3688
                .s4_cyc_o(      m7s4_cyc        ),
3689
                .s4_stb_o(      m7s4_stb        ),
3690
                .s4_ack_i(      m7s4_ack        ),
3691
                .s4_err_i(      m7s4_err        ),
3692
                .s4_rty_i(      m7s4_rty        ),
3693
        .s4_cti_o(  m7s4_cti    ),
3694
        .s4_bte_o(  m7s4_bte    ),
3695
                .s5_data_i(     m7s5_data_i     ),
3696
                .s5_data_o(     m7s5_data_o     ),
3697
                .s5_addr_o(     m7s5_addr       ),
3698
                .s5_sel_o(      m7s5_sel        ),
3699
                .s5_we_o(       m7s5_we         ),
3700
                .s5_cyc_o(      m7s5_cyc        ),
3701
                .s5_stb_o(      m7s5_stb        ),
3702
                .s5_ack_i(      m7s5_ack        ),
3703
                .s5_err_i(      m7s5_err        ),
3704
                .s5_rty_i(      m7s5_rty        ),
3705
        .s5_cti_o(  m7s5_cti    ),
3706
        .s5_bte_o(  m7s5_bte    ),
3707
                .s6_data_i(     m7s6_data_i     ),
3708
                .s6_data_o(     m7s6_data_o     ),
3709
                .s6_addr_o(     m7s6_addr       ),
3710
                .s6_sel_o(      m7s6_sel        ),
3711
                .s6_we_o(       m7s6_we         ),
3712
                .s6_cyc_o(      m7s6_cyc        ),
3713
                .s6_stb_o(      m7s6_stb        ),
3714
                .s6_ack_i(      m7s6_ack        ),
3715
                .s6_err_i(      m7s6_err        ),
3716
                .s6_rty_i(      m7s6_rty        ),
3717
        .s6_cti_o(  m7s6_cti    ),
3718
        .s6_bte_o(  m7s6_bte    ),
3719
                .s7_data_i(     m7s7_data_i     ),
3720
                .s7_data_o(     m7s7_data_o     ),
3721
                .s7_addr_o(     m7s7_addr       ),
3722
                .s7_sel_o(      m7s7_sel        ),
3723
                .s7_we_o(       m7s7_we         ),
3724
                .s7_cyc_o(      m7s7_cyc        ),
3725
                .s7_stb_o(      m7s7_stb        ),
3726
                .s7_ack_i(      m7s7_ack        ),
3727
                .s7_err_i(      m7s7_err        ),
3728
                .s7_rty_i(      m7s7_rty        ),
3729
        .s7_cti_o(  m7s7_cti    ),
3730
        .s7_bte_o(  m7s7_bte    ),
3731
                .s8_data_i(     m7s8_data_i     ),
3732
                .s8_data_o(     m7s8_data_o     ),
3733
                .s8_addr_o(     m7s8_addr       ),
3734
                .s8_sel_o(      m7s8_sel        ),
3735
                .s8_we_o(       m7s8_we         ),
3736
                .s8_cyc_o(      m7s8_cyc        ),
3737
                .s8_stb_o(      m7s8_stb        ),
3738
                .s8_ack_i(      m7s8_ack        ),
3739
                .s8_err_i(      m7s8_err        ),
3740
                .s8_rty_i(      m7s8_rty        ),
3741
        .s8_cti_o(  m7s8_cti    ),
3742
        .s8_bte_o(  m7s8_bte    ),
3743
                .s9_data_i(     m7s9_data_i     ),
3744
                .s9_data_o(     m7s9_data_o     ),
3745
                .s9_addr_o(     m7s9_addr       ),
3746
                .s9_sel_o(      m7s9_sel        ),
3747
                .s9_we_o(       m7s9_we         ),
3748
                .s9_cyc_o(      m7s9_cyc        ),
3749
                .s9_stb_o(      m7s9_stb        ),
3750
                .s9_ack_i(      m7s9_ack        ),
3751
                .s9_err_i(      m7s9_err        ),
3752
                .s9_rty_i(      m7s9_rty        ),
3753
        .s9_cti_o(  m7s9_cti    ),
3754
        .s9_bte_o(  m7s9_bte    ),
3755
                .s10_data_i(    m7s10_data_i    ),
3756
                .s10_data_o(    m7s10_data_o    ),
3757
                .s10_addr_o(    m7s10_addr      ),
3758
                .s10_sel_o(     m7s10_sel       ),
3759
                .s10_we_o(      m7s10_we        ),
3760
                .s10_cyc_o(     m7s10_cyc       ),
3761
                .s10_stb_o(     m7s10_stb       ),
3762
                .s10_ack_i(     m7s10_ack       ),
3763
                .s10_err_i(     m7s10_err       ),
3764
                .s10_rty_i(     m7s10_rty       ),
3765
        .s10_cti_o( m7s10_cti   ),
3766
        .s10_bte_o( m7s10_bte   ),
3767
                .s11_data_i(    m7s11_data_i    ),
3768
                .s11_data_o(    m7s11_data_o    ),
3769
                .s11_addr_o(    m7s11_addr      ),
3770
                .s11_sel_o(     m7s11_sel       ),
3771
                .s11_we_o(      m7s11_we        ),
3772
                .s11_cyc_o(     m7s11_cyc       ),
3773
                .s11_stb_o(     m7s11_stb       ),
3774
                .s11_ack_i(     m7s11_ack       ),
3775
                .s11_err_i(     m7s11_err       ),
3776
                .s11_rty_i(     m7s11_rty       ),
3777
        .s11_cti_o( m7s11_cti   ),
3778
        .s11_bte_o( m7s11_bte   ),
3779
                .s12_data_i(    m7s12_data_i    ),
3780
                .s12_data_o(    m7s12_data_o    ),
3781
                .s12_addr_o(    m7s12_addr      ),
3782
                .s12_sel_o(     m7s12_sel       ),
3783
                .s12_we_o(      m7s12_we        ),
3784
                .s12_cyc_o(     m7s12_cyc       ),
3785
                .s12_stb_o(     m7s12_stb       ),
3786
                .s12_ack_i(     m7s12_ack       ),
3787
                .s12_err_i(     m7s12_err       ),
3788
                .s12_rty_i(     m7s12_rty       ),
3789
        .s12_cti_o( m7s12_cti   ),
3790
        .s12_bte_o( m7s12_bte   ),
3791
                .s13_data_i(    m7s13_data_i    ),
3792
                .s13_data_o(    m7s13_data_o    ),
3793
                .s13_addr_o(    m7s13_addr      ),
3794
                .s13_sel_o(     m7s13_sel       ),
3795
                .s13_we_o(      m7s13_we        ),
3796
                .s13_cyc_o(     m7s13_cyc       ),
3797
                .s13_stb_o(     m7s13_stb       ),
3798
                .s13_ack_i(     m7s13_ack       ),
3799
                .s13_err_i(     m7s13_err       ),
3800
                .s13_rty_i(     m7s13_rty       ),
3801
        .s13_cti_o( m7s13_cti   ),
3802
        .s13_bte_o( m7s13_bte   ),
3803
                .s14_data_i(    m7s14_data_i    ),
3804
                .s14_data_o(    m7s14_data_o    ),
3805
                .s14_addr_o(    m7s14_addr      ),
3806
                .s14_sel_o(     m7s14_sel       ),
3807
                .s14_we_o(      m7s14_we        ),
3808
                .s14_cyc_o(     m7s14_cyc       ),
3809
                .s14_stb_o(     m7s14_stb       ),
3810
                .s14_ack_i(     m7s14_ack       ),
3811
                .s14_err_i(     m7s14_err       ),
3812
                .s14_rty_i(     m7s14_rty       ),
3813
        .s14_cti_o( m7s14_cti   ),
3814
        .s14_bte_o( m7s14_bte   ),
3815
                .s15_data_i(    m7s15_data_i    ),
3816
                .s15_data_o(    m7s15_data_o    ),
3817
                .s15_addr_o(    m7s15_addr      ),
3818
                .s15_sel_o(     m7s15_sel       ),
3819
                .s15_we_o(      m7s15_we        ),
3820
                .s15_cyc_o(     m7s15_cyc       ),
3821
                .s15_stb_o(     m7s15_stb       ),
3822
                .s15_ack_i(     m7s15_ack       ),
3823
                .s15_err_i(     m7s15_err       ),
3824
                .s15_rty_i(     m7s15_rty       ),
3825
        .s15_cti_o( m7s15_cti   ),
3826
        .s15_bte_o( m7s15_bte   )
3827
                );
3828
 
3829
////////////////////////////////////////////////////////////////////
3830
//
3831
// Slave Interfaces
3832
//
3833
 
3834
wb_conmax_slave_if #(pri_sel0,aw,dw,sw) s0(
3835
                .clk_i(         clk_i           ),
3836
                .rst_i(         rst_i           ),
3837
                .conf(          conf0           ),
3838
                .wb_data_i(     s0_data_i       ),
3839
                .wb_data_o(     s0_data_o       ),
3840
                .wb_addr_o(     s0_addr_o       ),
3841
                .wb_sel_o(      s0_sel_o        ),
3842
                .wb_we_o(       s0_we_o         ),
3843
                .wb_cyc_o(      s0_cyc_o        ),
3844
                .wb_stb_o(      s0_stb_o        ),
3845
                .wb_ack_i(      s0_ack_i        ),
3846
                .wb_err_i(      s0_err_i        ),
3847
                .wb_rty_i(      s0_rty_i        ),
3848
        .wb_cti_o(  s0_cti_o    ),
3849
        .wb_bte_o(  s0_bte_o    ),
3850
                .m0_data_i(     m0s0_data_o     ),
3851
                .m0_data_o(     m0s0_data_i     ),
3852
                .m0_addr_i(     m0s0_addr       ),
3853
                .m0_sel_i(      m0s0_sel        ),
3854
                .m0_we_i(       m0s0_we ),
3855
                .m0_cyc_i(      m0s0_cyc        ),
3856
                .m0_stb_i(      m0s0_stb        ),
3857
                .m0_ack_o(      m0s0_ack        ),
3858
                .m0_err_o(      m0s0_err        ),
3859
                .m0_rty_o(      m0s0_rty        ),
3860
        .m0_cti_i(  m0s0_cti    ),
3861
        .m0_bte_i(  m0s0_bte    ),
3862
                .m1_data_i(     m1s0_data_o      ),
3863
                .m1_data_o(     m1s0_data_i      ),
3864
                .m1_addr_i(     m1s0_addr        ),
3865
                .m1_sel_i(      m1s0_sel ),
3866
                .m1_we_i(       m1s0_we  ),
3867
                .m1_cyc_i(      m1s0_cyc ),
3868
                .m1_stb_i(      m1s0_stb ),
3869
                .m1_ack_o(      m1s0_ack ),
3870
                .m1_err_o(      m1s0_err ),
3871
                .m1_rty_o(      m1s0_rty ),
3872
        .m1_cti_i(  m1s0_cti    ),
3873
        .m1_bte_i(  m1s0_bte    ),
3874
                .m2_data_i(     m2s0_data_o     ),
3875
                .m2_data_o(     m2s0_data_i     ),
3876
                .m2_addr_i(     m2s0_addr       ),
3877
                .m2_sel_i(      m2s0_sel        ),
3878
                .m2_we_i(       m2s0_we ),
3879
                .m2_cyc_i(      m2s0_cyc        ),
3880
                .m2_stb_i(      m2s0_stb        ),
3881
                .m2_ack_o(      m2s0_ack        ),
3882
                .m2_err_o(      m2s0_err        ),
3883
                .m2_rty_o(      m2s0_rty        ),
3884
        .m2_cti_i(  m2s0_cti    ),
3885
        .m2_bte_i(  m2s0_bte    ),
3886
                .m3_data_i(     m3s0_data_o     ),
3887
                .m3_data_o(     m3s0_data_i     ),
3888
                .m3_addr_i(     m3s0_addr       ),
3889
                .m3_sel_i(      m3s0_sel        ),
3890
                .m3_we_i(       m3s0_we ),
3891
                .m3_cyc_i(      m3s0_cyc        ),
3892
                .m3_stb_i(      m3s0_stb        ),
3893
                .m3_ack_o(      m3s0_ack        ),
3894
                .m3_err_o(      m3s0_err        ),
3895
                .m3_rty_o(      m3s0_rty        ),
3896
        .m3_cti_i(  m3s0_cti    ),
3897
        .m3_bte_i(  m3s0_bte    ),
3898
                .m4_data_i(     m4s0_data_o     ),
3899
                .m4_data_o(     m4s0_data_i     ),
3900
                .m4_addr_i(     m4s0_addr       ),
3901
                .m4_sel_i(      m4s0_sel        ),
3902
                .m4_we_i(       m4s0_we ),
3903
                .m4_cyc_i(      m4s0_cyc        ),
3904
                .m4_stb_i(      m4s0_stb        ),
3905
                .m4_ack_o(      m4s0_ack        ),
3906
                .m4_err_o(      m4s0_err        ),
3907
                .m4_rty_o(      m4s0_rty        ),
3908
        .m4_cti_i(  m4s0_cti    ),
3909
        .m4_bte_i(  m4s0_bte    ),
3910
                .m5_data_i(     m5s0_data_o     ),
3911
                .m5_data_o(     m5s0_data_i     ),
3912
                .m5_addr_i(     m5s0_addr       ),
3913
                .m5_sel_i(      m5s0_sel        ),
3914
                .m5_we_i(       m5s0_we ),
3915
                .m5_cyc_i(      m5s0_cyc        ),
3916
                .m5_stb_i(      m5s0_stb        ),
3917
                .m5_ack_o(      m5s0_ack        ),
3918
                .m5_err_o(      m5s0_err        ),
3919
                .m5_rty_o(      m5s0_rty        ),
3920
        .m5_cti_i(  m5s0_cti    ),
3921
        .m5_bte_i(  m5s0_bte    ),
3922
                .m6_data_i(     m6s0_data_o     ),
3923
                .m6_data_o(     m6s0_data_i     ),
3924
                .m6_addr_i(     m6s0_addr       ),
3925
                .m6_sel_i(      m6s0_sel        ),
3926
                .m6_we_i(       m6s0_we ),
3927
                .m6_cyc_i(      m6s0_cyc        ),
3928
                .m6_stb_i(      m6s0_stb        ),
3929
                .m6_ack_o(      m6s0_ack        ),
3930
                .m6_err_o(      m6s0_err        ),
3931
                .m6_rty_o(      m6s0_rty        ),
3932
        .m6_cti_i(  m6s0_cti    ),
3933
        .m6_bte_i(  m6s0_bte    ),
3934
                .m7_data_i(     m7s0_data_o     ),
3935
                .m7_data_o(     m7s0_data_i     ),
3936
                .m7_addr_i(     m7s0_addr       ),
3937
                .m7_sel_i(      m7s0_sel        ),
3938
                .m7_we_i(       m7s0_we ),
3939
                .m7_cyc_i(      m7s0_cyc        ),
3940
                .m7_stb_i(      m7s0_stb        ),
3941
                .m7_ack_o(      m7s0_ack        ),
3942
                .m7_err_o(      m7s0_err        ),
3943
                .m7_rty_o(      m7s0_rty        ),
3944
        .m7_cti_i(  m7s0_cti    ),
3945
        .m7_bte_i(  m7s0_bte    )
3946
                );
3947
 
3948
wb_conmax_slave_if #(pri_sel1,aw,dw,sw) s1(
3949
                .clk_i(         clk_i           ),
3950
                .rst_i(         rst_i           ),
3951
                .conf(          conf1           ),
3952
                .wb_data_i(     s1_data_i       ),
3953
                .wb_data_o(     s1_data_o       ),
3954
                .wb_addr_o(     s1_addr_o       ),
3955
                .wb_sel_o(      s1_sel_o        ),
3956
                .wb_we_o(       s1_we_o         ),
3957
                .wb_cyc_o(      s1_cyc_o        ),
3958
                .wb_stb_o(      s1_stb_o        ),
3959
                .wb_ack_i(      s1_ack_i        ),
3960
                .wb_err_i(      s1_err_i        ),
3961
                .wb_rty_i(      s1_rty_i        ),
3962
        .wb_cti_o(  s1_cti_o    ),
3963
        .wb_bte_o(  s1_bte_o    ),
3964
                .m0_data_i(     m0s1_data_o     ),
3965
                .m0_data_o(     m0s1_data_i     ),
3966
                .m0_addr_i(     m0s1_addr       ),
3967
                .m0_sel_i(      m0s1_sel        ),
3968
                .m0_we_i(       m0s1_we ),
3969
                .m0_cyc_i(      m0s1_cyc        ),
3970
                .m0_stb_i(      m0s1_stb        ),
3971
                .m0_ack_o(      m0s1_ack        ),
3972
                .m0_err_o(      m0s1_err        ),
3973
                .m0_rty_o(      m0s1_rty        ),
3974
        .m0_cti_i(  m0s1_cti    ),
3975
        .m0_bte_i(  m0s1_bte    ),
3976
                .m1_data_i(     m1s1_data_o     ),
3977
                .m1_data_o(     m1s1_data_i     ),
3978
                .m1_addr_i(     m1s1_addr       ),
3979
                .m1_sel_i(      m1s1_sel        ),
3980
                .m1_we_i(       m1s1_we ),
3981
                .m1_cyc_i(      m1s1_cyc        ),
3982
                .m1_stb_i(      m1s1_stb        ),
3983
                .m1_ack_o(      m1s1_ack        ),
3984
                .m1_err_o(      m1s1_err        ),
3985
                .m1_rty_o(      m1s1_rty        ),
3986
        .m1_cti_i(  m1s1_cti    ),
3987
        .m1_bte_i(  m1s1_bte    ),
3988
                .m2_data_i(     m2s1_data_o     ),
3989
                .m2_data_o(     m2s1_data_i     ),
3990
                .m2_addr_i(     m2s1_addr       ),
3991
                .m2_sel_i(      m2s1_sel        ),
3992
                .m2_we_i(       m2s1_we ),
3993
                .m2_cyc_i(      m2s1_cyc        ),
3994
                .m2_stb_i(      m2s1_stb        ),
3995
                .m2_ack_o(      m2s1_ack        ),
3996
                .m2_err_o(      m2s1_err        ),
3997
                .m2_rty_o(      m2s1_rty        ),
3998
        .m2_cti_i(  m2s1_cti    ),
3999
        .m2_bte_i(  m2s1_bte    ),
4000
                .m3_data_i(     m3s1_data_o     ),
4001
                .m3_data_o(     m3s1_data_i     ),
4002
                .m3_addr_i(     m3s1_addr       ),
4003
                .m3_sel_i(      m3s1_sel        ),
4004
                .m3_we_i(       m3s1_we ),
4005
                .m3_cyc_i(      m3s1_cyc        ),
4006
                .m3_stb_i(      m3s1_stb        ),
4007
                .m3_ack_o(      m3s1_ack        ),
4008
                .m3_err_o(      m3s1_err        ),
4009
                .m3_rty_o(      m3s1_rty        ),
4010
        .m3_cti_i(  m3s1_cti    ),
4011
        .m3_bte_i(  m3s1_bte    ),
4012
                .m4_data_i(     m4s1_data_o     ),
4013
                .m4_data_o(     m4s1_data_i     ),
4014
                .m4_addr_i(     m4s1_addr       ),
4015
                .m4_sel_i(      m4s1_sel        ),
4016
                .m4_we_i(       m4s1_we ),
4017
                .m4_cyc_i(      m4s1_cyc        ),
4018
                .m4_stb_i(      m4s1_stb        ),
4019
                .m4_ack_o(      m4s1_ack        ),
4020
                .m4_err_o(      m4s1_err        ),
4021
                .m4_rty_o(      m4s1_rty        ),
4022
        .m4_cti_i(  m4s1_cti    ),
4023
        .m4_bte_i(  m4s1_bte    ),
4024
                .m5_data_i(     m5s1_data_o     ),
4025
                .m5_data_o(     m5s1_data_i     ),
4026
                .m5_addr_i(     m5s1_addr       ),
4027
                .m5_sel_i(      m5s1_sel        ),
4028
                .m5_we_i(       m5s1_we ),
4029
                .m5_cyc_i(      m5s1_cyc        ),
4030
                .m5_stb_i(      m5s1_stb        ),
4031
                .m5_ack_o(      m5s1_ack        ),
4032
                .m5_err_o(      m5s1_err        ),
4033
                .m5_rty_o(      m5s1_rty        ),
4034
        .m5_cti_i(  m5s1_cti    ),
4035
        .m5_bte_i(  m5s1_bte    ),
4036
                .m6_data_i(     m6s1_data_o     ),
4037
                .m6_data_o(     m6s1_data_i     ),
4038
                .m6_addr_i(     m6s1_addr       ),
4039
                .m6_sel_i(      m6s1_sel        ),
4040
                .m6_we_i(       m6s1_we ),
4041
                .m6_cyc_i(      m6s1_cyc        ),
4042
                .m6_stb_i(      m6s1_stb        ),
4043
                .m6_ack_o(      m6s1_ack        ),
4044
                .m6_err_o(      m6s1_err        ),
4045
                .m6_rty_o(      m6s1_rty        ),
4046
        .m6_cti_i(  m6s1_cti    ),
4047
        .m6_bte_i(  m6s1_bte    ),
4048
                .m7_data_i(     m7s1_data_o     ),
4049
                .m7_data_o(     m7s1_data_i     ),
4050
                .m7_addr_i(     m7s1_addr       ),
4051
                .m7_sel_i(      m7s1_sel        ),
4052
                .m7_we_i(       m7s1_we ),
4053
                .m7_cyc_i(      m7s1_cyc        ),
4054
                .m7_stb_i(      m7s1_stb        ),
4055
                .m7_ack_o(      m7s1_ack        ),
4056
                .m7_err_o(      m7s1_err        ),
4057
                .m7_rty_o(      m7s1_rty        ),
4058
        .m7_cti_i(  m7s1_cti    ),
4059
        .m7_bte_i(  m7s1_bte    )
4060
                );
4061
 
4062
wb_conmax_slave_if #(pri_sel2,aw,dw,sw) s2(
4063
                .clk_i(         clk_i           ),
4064
                .rst_i(         rst_i           ),
4065
                .conf(          conf2           ),
4066
                .wb_data_i(     s2_data_i       ),
4067
                .wb_data_o(     s2_data_o       ),
4068
                .wb_addr_o(     s2_addr_o       ),
4069
                .wb_sel_o(      s2_sel_o        ),
4070
                .wb_we_o(       s2_we_o         ),
4071
                .wb_cyc_o(      s2_cyc_o        ),
4072
                .wb_stb_o(      s2_stb_o        ),
4073
                .wb_ack_i(      s2_ack_i        ),
4074
                .wb_err_i(      s2_err_i        ),
4075
                .wb_rty_i(      s2_rty_i        ),
4076
        .wb_cti_o(  s2_cti_o    ),
4077
        .wb_bte_o(  s2_bte_o    ),
4078
                .m0_data_i(     m0s2_data_o     ),
4079
                .m0_data_o(     m0s2_data_i     ),
4080
                .m0_addr_i(     m0s2_addr       ),
4081
                .m0_sel_i(      m0s2_sel        ),
4082
                .m0_we_i(       m0s2_we ),
4083
                .m0_cyc_i(      m0s2_cyc        ),
4084
                .m0_stb_i(      m0s2_stb        ),
4085
                .m0_ack_o(      m0s2_ack        ),
4086
                .m0_err_o(      m0s2_err        ),
4087
                .m0_rty_o(      m0s2_rty        ),
4088
        .m0_cti_i(  m0s2_cti    ),
4089
        .m0_bte_i(  m0s2_bte    ),
4090
                .m1_data_i(     m1s2_data_o     ),
4091
                .m1_data_o(     m1s2_data_i     ),
4092
                .m1_addr_i(     m1s2_addr       ),
4093
                .m1_sel_i(      m1s2_sel        ),
4094
                .m1_we_i(       m1s2_we ),
4095
                .m1_cyc_i(      m1s2_cyc        ),
4096
                .m1_stb_i(      m1s2_stb        ),
4097
                .m1_ack_o(      m1s2_ack        ),
4098
                .m1_err_o(      m1s2_err        ),
4099
                .m1_rty_o(      m1s2_rty        ),
4100
        .m1_cti_i(  m1s2_cti    ),
4101
        .m1_bte_i(  m1s2_bte    ),
4102
                .m2_data_i(     m2s2_data_o     ),
4103
                .m2_data_o(     m2s2_data_i     ),
4104
                .m2_addr_i(     m2s2_addr       ),
4105
                .m2_sel_i(      m2s2_sel        ),
4106
                .m2_we_i(       m2s2_we ),
4107
                .m2_cyc_i(      m2s2_cyc        ),
4108
                .m2_stb_i(      m2s2_stb        ),
4109
                .m2_ack_o(      m2s2_ack        ),
4110
                .m2_err_o(      m2s2_err        ),
4111
                .m2_rty_o(      m2s2_rty        ),
4112
        .m2_cti_i(  m2s2_cti    ),
4113
        .m2_bte_i(  m2s2_bte    ),
4114
                .m3_data_i(     m3s2_data_o     ),
4115
                .m3_data_o(     m3s2_data_i     ),
4116
                .m3_addr_i(     m3s2_addr       ),
4117
                .m3_sel_i(      m3s2_sel        ),
4118
                .m3_we_i(       m3s2_we ),
4119
                .m3_cyc_i(      m3s2_cyc        ),
4120
                .m3_stb_i(      m3s2_stb        ),
4121
                .m3_ack_o(      m3s2_ack        ),
4122
                .m3_err_o(      m3s2_err        ),
4123
                .m3_rty_o(      m3s2_rty        ),
4124
        .m3_cti_i(  m3s2_cti    ),
4125
        .m3_bte_i(  m3s2_bte    ),
4126
                .m4_data_i(     m4s2_data_o     ),
4127
                .m4_data_o(     m4s2_data_i     ),
4128
                .m4_addr_i(     m4s2_addr       ),
4129
                .m4_sel_i(      m4s2_sel        ),
4130
                .m4_we_i(       m4s2_we ),
4131
                .m4_cyc_i(      m4s2_cyc        ),
4132
                .m4_stb_i(      m4s2_stb        ),
4133
                .m4_ack_o(      m4s2_ack        ),
4134
                .m4_err_o(      m4s2_err        ),
4135
                .m4_rty_o(      m4s2_rty        ),
4136
        .m4_cti_i(  m4s2_cti    ),
4137
        .m4_bte_i(  m4s2_bte    ),
4138
                .m5_data_i(     m5s2_data_o     ),
4139
                .m5_data_o(     m5s2_data_i     ),
4140
                .m5_addr_i(     m5s2_addr       ),
4141
                .m5_sel_i(      m5s2_sel        ),
4142
                .m5_we_i(       m5s2_we ),
4143
                .m5_cyc_i(      m5s2_cyc        ),
4144
                .m5_stb_i(      m5s2_stb        ),
4145
                .m5_ack_o(      m5s2_ack        ),
4146
                .m5_err_o(      m5s2_err        ),
4147
                .m5_rty_o(      m5s2_rty        ),
4148
        .m5_cti_i(  m5s2_cti    ),
4149
        .m5_bte_i(  m5s2_bte    ),
4150
                .m6_data_i(     m6s2_data_o     ),
4151
                .m6_data_o(     m6s2_data_i     ),
4152
                .m6_addr_i(     m6s2_addr       ),
4153
                .m6_sel_i(      m6s2_sel        ),
4154
                .m6_we_i(       m6s2_we ),
4155
                .m6_cyc_i(      m6s2_cyc        ),
4156
                .m6_stb_i(      m6s2_stb        ),
4157
                .m6_ack_o(      m6s2_ack        ),
4158
                .m6_err_o(      m6s2_err        ),
4159
                .m6_rty_o(      m6s2_rty        ),
4160
        .m6_cti_i(  m6s2_cti    ),
4161
        .m6_bte_i(  m6s2_bte    ),
4162
                .m7_data_i(     m7s2_data_o     ),
4163
                .m7_data_o(     m7s2_data_i     ),
4164
                .m7_addr_i(     m7s2_addr       ),
4165
                .m7_sel_i(      m7s2_sel        ),
4166
                .m7_we_i(       m7s2_we ),
4167
                .m7_cyc_i(      m7s2_cyc        ),
4168
                .m7_stb_i(      m7s2_stb        ),
4169
                .m7_ack_o(      m7s2_ack        ),
4170
                .m7_err_o(      m7s2_err        ),
4171
                .m7_rty_o(      m7s2_rty        ),
4172
        .m7_cti_i(  m7s2_cti    ),
4173
        .m7_bte_i(  m7s2_bte    )
4174
                );
4175
 
4176
wb_conmax_slave_if #(pri_sel3,aw,dw,sw) s3(
4177
                .clk_i(         clk_i           ),
4178
                .rst_i(         rst_i           ),
4179
                .conf(          conf3           ),
4180
                .wb_data_i(     s3_data_i       ),
4181
                .wb_data_o(     s3_data_o       ),
4182
                .wb_addr_o(     s3_addr_o       ),
4183
                .wb_sel_o(      s3_sel_o        ),
4184
                .wb_we_o(       s3_we_o         ),
4185
                .wb_cyc_o(      s3_cyc_o        ),
4186
                .wb_stb_o(      s3_stb_o        ),
4187
                .wb_ack_i(      s3_ack_i        ),
4188
                .wb_err_i(      s3_err_i        ),
4189
                .wb_rty_i(      s3_rty_i        ),
4190
        .wb_cti_o(  s3_cti_o    ),
4191
        .wb_bte_o(  s3_bte_o    ),
4192
                .m0_data_i(     m0s3_data_o     ),
4193
                .m0_data_o(     m0s3_data_i     ),
4194
                .m0_addr_i(     m0s3_addr       ),
4195
                .m0_sel_i(      m0s3_sel        ),
4196
                .m0_we_i(       m0s3_we ),
4197
                .m0_cyc_i(      m0s3_cyc        ),
4198
                .m0_stb_i(      m0s3_stb        ),
4199
                .m0_ack_o(      m0s3_ack        ),
4200
                .m0_err_o(      m0s3_err        ),
4201
                .m0_rty_o(      m0s3_rty        ),
4202
        .m0_cti_i(  m0s3_cti    ),
4203
        .m0_bte_i(  m0s3_bte    ),
4204
                .m1_data_i(     m1s3_data_o     ),
4205
                .m1_data_o(     m1s3_data_i     ),
4206
                .m1_addr_i(     m1s3_addr       ),
4207
                .m1_sel_i(      m1s3_sel        ),
4208
                .m1_we_i(       m1s3_we ),
4209
                .m1_cyc_i(      m1s3_cyc        ),
4210
                .m1_stb_i(      m1s3_stb        ),
4211
                .m1_ack_o(      m1s3_ack        ),
4212
                .m1_err_o(      m1s3_err        ),
4213
                .m1_rty_o(      m1s3_rty        ),
4214
        .m1_cti_i(  m1s3_cti    ),
4215
        .m1_bte_i(  m1s3_bte    ),
4216
                .m2_data_i(     m2s3_data_o     ),
4217
                .m2_data_o(     m2s3_data_i     ),
4218
                .m2_addr_i(     m2s3_addr       ),
4219
                .m2_sel_i(      m2s3_sel        ),
4220
                .m2_we_i(       m2s3_we ),
4221
                .m2_cyc_i(      m2s3_cyc        ),
4222
                .m2_stb_i(      m2s3_stb        ),
4223
                .m2_ack_o(      m2s3_ack        ),
4224
                .m2_err_o(      m2s3_err        ),
4225
                .m2_rty_o(      m2s3_rty        ),
4226
        .m2_cti_i(  m2s3_cti    ),
4227
        .m2_bte_i(  m2s3_bte    ),
4228
                .m3_data_i(     m3s3_data_o     ),
4229
                .m3_data_o(     m3s3_data_i     ),
4230
                .m3_addr_i(     m3s3_addr       ),
4231
                .m3_sel_i(      m3s3_sel        ),
4232
                .m3_we_i(       m3s3_we ),
4233
                .m3_cyc_i(      m3s3_cyc        ),
4234
                .m3_stb_i(      m3s3_stb        ),
4235
                .m3_ack_o(      m3s3_ack        ),
4236
                .m3_err_o(      m3s3_err        ),
4237
                .m3_rty_o(      m3s3_rty        ),
4238
        .m3_cti_i(  m3s3_cti    ),
4239
        .m3_bte_i(  m3s3_bte    ),
4240
                .m4_data_i(     m4s3_data_o     ),
4241
                .m4_data_o(     m4s3_data_i     ),
4242
                .m4_addr_i(     m4s3_addr       ),
4243
                .m4_sel_i(      m4s3_sel        ),
4244
                .m4_we_i(       m4s3_we ),
4245
                .m4_cyc_i(      m4s3_cyc        ),
4246
                .m4_stb_i(      m4s3_stb        ),
4247
                .m4_ack_o(      m4s3_ack        ),
4248
                .m4_err_o(      m4s3_err        ),
4249
                .m4_rty_o(      m4s3_rty        ),
4250
        .m4_cti_i(  m4s3_cti    ),
4251
        .m4_bte_i(  m4s3_bte    ),
4252
                .m5_data_i(     m5s3_data_o     ),
4253
                .m5_data_o(     m5s3_data_i     ),
4254
                .m5_addr_i(     m5s3_addr       ),
4255
                .m5_sel_i(      m5s3_sel        ),
4256
                .m5_we_i(       m5s3_we ),
4257
                .m5_cyc_i(      m5s3_cyc        ),
4258
                .m5_stb_i(      m5s3_stb        ),
4259
                .m5_ack_o(      m5s3_ack        ),
4260
                .m5_err_o(      m5s3_err        ),
4261
                .m5_rty_o(      m5s3_rty        ),
4262
        .m5_cti_i(  m5s3_cti    ),
4263
        .m5_bte_i(  m5s3_bte    ),
4264
                .m6_data_i(     m6s3_data_o     ),
4265
                .m6_data_o(     m6s3_data_i     ),
4266
                .m6_addr_i(     m6s3_addr       ),
4267
                .m6_sel_i(      m6s3_sel        ),
4268
                .m6_we_i(       m6s3_we ),
4269
                .m6_cyc_i(      m6s3_cyc        ),
4270
                .m6_stb_i(      m6s3_stb        ),
4271
                .m6_ack_o(      m6s3_ack        ),
4272
                .m6_err_o(      m6s3_err        ),
4273
                .m6_rty_o(      m6s3_rty        ),
4274
        .m6_cti_i(  m6s3_cti    ),
4275
        .m6_bte_i(  m6s3_bte    ),
4276
                .m7_data_i(     m7s3_data_o     ),
4277
                .m7_data_o(     m7s3_data_i     ),
4278
                .m7_addr_i(     m7s3_addr       ),
4279
                .m7_sel_i(      m7s3_sel        ),
4280
                .m7_we_i(       m7s3_we ),
4281
                .m7_cyc_i(      m7s3_cyc        ),
4282
                .m7_stb_i(      m7s3_stb        ),
4283
                .m7_ack_o(      m7s3_ack        ),
4284
                .m7_err_o(      m7s3_err        ),
4285
                .m7_rty_o(      m7s3_rty        ),
4286
        .m7_cti_i(  m7s3_cti    ),
4287
        .m7_bte_i(  m7s3_bte    )
4288
                );
4289
 
4290
wb_conmax_slave_if #(pri_sel4,aw,dw,sw) s4(
4291
                .clk_i(         clk_i           ),
4292
                .rst_i(         rst_i           ),
4293
                .conf(          conf4           ),
4294
                .wb_data_i(     s4_data_i       ),
4295
                .wb_data_o(     s4_data_o       ),
4296
                .wb_addr_o(     s4_addr_o       ),
4297
                .wb_sel_o(      s4_sel_o        ),
4298
                .wb_we_o(       s4_we_o         ),
4299
                .wb_cyc_o(      s4_cyc_o        ),
4300
                .wb_stb_o(      s4_stb_o        ),
4301
                .wb_ack_i(      s4_ack_i        ),
4302
                .wb_err_i(      s4_err_i        ),
4303
                .wb_rty_i(      s4_rty_i        ),
4304
        .wb_cti_o(  s4_cti_o    ),
4305
        .wb_bte_o(  s4_bte_o    ),
4306
                .m0_data_i(     m0s4_data_o     ),
4307
                .m0_data_o(     m0s4_data_i     ),
4308
                .m0_addr_i(     m0s4_addr       ),
4309
                .m0_sel_i(      m0s4_sel        ),
4310
                .m0_we_i(       m0s4_we ),
4311
                .m0_cyc_i(      m0s4_cyc        ),
4312
                .m0_stb_i(      m0s4_stb        ),
4313
                .m0_ack_o(      m0s4_ack        ),
4314
                .m0_err_o(      m0s4_err        ),
4315
                .m0_rty_o(      m0s4_rty        ),
4316
        .m0_cti_i(  m0s4_cti    ),
4317
        .m0_bte_i(  m0s4_bte    ),
4318
                .m1_data_i(     m1s4_data_o     ),
4319
                .m1_data_o(     m1s4_data_i     ),
4320
                .m1_addr_i(     m1s4_addr       ),
4321
                .m1_sel_i(      m1s4_sel        ),
4322
                .m1_we_i(       m1s4_we ),
4323
                .m1_cyc_i(      m1s4_cyc        ),
4324
                .m1_stb_i(      m1s4_stb        ),
4325
                .m1_ack_o(      m1s4_ack        ),
4326
                .m1_err_o(      m1s4_err        ),
4327
                .m1_rty_o(      m1s4_rty        ),
4328
        .m1_cti_i(  m1s4_cti    ),
4329
        .m1_bte_i(  m1s4_bte    ),
4330
                .m2_data_i(     m2s4_data_o     ),
4331
                .m2_data_o(     m2s4_data_i     ),
4332
                .m2_addr_i(     m2s4_addr       ),
4333
                .m2_sel_i(      m2s4_sel        ),
4334
                .m2_we_i(       m2s4_we ),
4335
                .m2_cyc_i(      m2s4_cyc        ),
4336
                .m2_stb_i(      m2s4_stb        ),
4337
                .m2_ack_o(      m2s4_ack        ),
4338
                .m2_err_o(      m2s4_err        ),
4339
                .m2_rty_o(      m2s4_rty        ),
4340
        .m2_cti_i(  m2s4_cti    ),
4341
        .m2_bte_i(  m2s4_bte    ),
4342
                .m3_data_i(     m3s4_data_o     ),
4343
                .m3_data_o(     m3s4_data_i     ),
4344
                .m3_addr_i(     m3s4_addr       ),
4345
                .m3_sel_i(      m3s4_sel        ),
4346
                .m3_we_i(       m3s4_we ),
4347
                .m3_cyc_i(      m3s4_cyc        ),
4348
                .m3_stb_i(      m3s4_stb        ),
4349
                .m3_ack_o(      m3s4_ack        ),
4350
                .m3_err_o(      m3s4_err        ),
4351
                .m3_rty_o(      m3s4_rty        ),
4352
        .m3_cti_i(  m3s4_cti    ),
4353
        .m3_bte_i(  m3s4_bte    ),
4354
                .m4_data_i(     m4s4_data_o     ),
4355
                .m4_data_o(     m4s4_data_i     ),
4356
                .m4_addr_i(     m4s4_addr       ),
4357
                .m4_sel_i(      m4s4_sel        ),
4358
                .m4_we_i(       m4s4_we ),
4359
                .m4_cyc_i(      m4s4_cyc        ),
4360
                .m4_stb_i(      m4s4_stb        ),
4361
                .m4_ack_o(      m4s4_ack        ),
4362
                .m4_err_o(      m4s4_err        ),
4363
                .m4_rty_o(      m4s4_rty        ),
4364
        .m4_cti_i(  m4s4_cti    ),
4365
        .m4_bte_i(  m4s4_bte    ),
4366
                .m5_data_i(     m5s4_data_o     ),
4367
                .m5_data_o(     m5s4_data_i     ),
4368
                .m5_addr_i(     m5s4_addr       ),
4369
                .m5_sel_i(      m5s4_sel        ),
4370
                .m5_we_i(       m5s4_we ),
4371
                .m5_cyc_i(      m5s4_cyc        ),
4372
                .m5_stb_i(      m5s4_stb        ),
4373
                .m5_ack_o(      m5s4_ack        ),
4374
                .m5_err_o(      m5s4_err        ),
4375
                .m5_rty_o(      m5s4_rty        ),
4376
        .m5_cti_i(  m5s4_cti    ),
4377
        .m5_bte_i(  m5s4_bte    ),
4378
                .m6_data_i(     m6s4_data_o     ),
4379
                .m6_data_o(     m6s4_data_i     ),
4380
                .m6_addr_i(     m6s4_addr       ),
4381
                .m6_sel_i(      m6s4_sel        ),
4382
                .m6_we_i(       m6s4_we ),
4383
                .m6_cyc_i(      m6s4_cyc        ),
4384
                .m6_stb_i(      m6s4_stb        ),
4385
                .m6_ack_o(      m6s4_ack        ),
4386
                .m6_err_o(      m6s4_err        ),
4387
                .m6_rty_o(      m6s4_rty        ),
4388
        .m6_cti_i(  m6s4_cti    ),
4389
        .m6_bte_i(  m6s4_bte    ),
4390
                .m7_data_i(     m7s4_data_o     ),
4391
                .m7_data_o(     m7s4_data_i     ),
4392
                .m7_addr_i(     m7s4_addr       ),
4393
                .m7_sel_i(      m7s4_sel        ),
4394
                .m7_we_i(       m7s4_we ),
4395
                .m7_cyc_i(      m7s4_cyc        ),
4396
                .m7_stb_i(      m7s4_stb        ),
4397
                .m7_ack_o(      m7s4_ack        ),
4398
                .m7_err_o(      m7s4_err        ),
4399
                .m7_rty_o(      m7s4_rty        ),
4400
        .m7_cti_i(  m7s4_cti    ),
4401
        .m7_bte_i(  m7s4_bte    )
4402
                );
4403
 
4404
wb_conmax_slave_if #(pri_sel5,aw,dw,sw) s5(
4405
                .clk_i(         clk_i           ),
4406
                .rst_i(         rst_i           ),
4407
                .conf(          conf5           ),
4408
                .wb_data_i(     s5_data_i       ),
4409
                .wb_data_o(     s5_data_o       ),
4410
                .wb_addr_o(     s5_addr_o       ),
4411
                .wb_sel_o(      s5_sel_o        ),
4412
                .wb_we_o(       s5_we_o         ),
4413
                .wb_cyc_o(      s5_cyc_o        ),
4414
                .wb_stb_o(      s5_stb_o        ),
4415
                .wb_ack_i(      s5_ack_i        ),
4416
                .wb_err_i(      s5_err_i        ),
4417
                .wb_rty_i(      s5_rty_i        ),
4418
        .wb_cti_o(  s5_cti_o    ),
4419
        .wb_bte_o(  s5_bte_o    ),
4420
                .m0_data_i(     m0s5_data_o     ),
4421
                .m0_data_o(     m0s5_data_i     ),
4422
                .m0_addr_i(     m0s5_addr       ),
4423
                .m0_sel_i(      m0s5_sel        ),
4424
                .m0_we_i(       m0s5_we ),
4425
                .m0_cyc_i(      m0s5_cyc        ),
4426
                .m0_stb_i(      m0s5_stb        ),
4427
                .m0_ack_o(      m0s5_ack        ),
4428
                .m0_err_o(      m0s5_err        ),
4429
                .m0_rty_o(      m0s5_rty        ),
4430
        .m0_cti_i(  m0s5_cti    ),
4431
        .m0_bte_i(  m0s5_bte    ),
4432
                .m1_data_i(     m1s5_data_o     ),
4433
                .m1_data_o(     m1s5_data_i     ),
4434
                .m1_addr_i(     m1s5_addr       ),
4435
                .m1_sel_i(      m1s5_sel        ),
4436
                .m1_we_i(       m1s5_we ),
4437
                .m1_cyc_i(      m1s5_cyc        ),
4438
                .m1_stb_i(      m1s5_stb        ),
4439
                .m1_ack_o(      m1s5_ack        ),
4440
                .m1_err_o(      m1s5_err        ),
4441
                .m1_rty_o(      m1s5_rty        ),
4442
        .m1_cti_i(  m1s5_cti    ),
4443
        .m1_bte_i(  m1s5_bte    ),
4444
                .m2_data_i(     m2s5_data_o     ),
4445
                .m2_data_o(     m2s5_data_i     ),
4446
                .m2_addr_i(     m2s5_addr       ),
4447
                .m2_sel_i(      m2s5_sel        ),
4448
                .m2_we_i(       m2s5_we ),
4449
                .m2_cyc_i(      m2s5_cyc        ),
4450
                .m2_stb_i(      m2s5_stb        ),
4451
                .m2_ack_o(      m2s5_ack        ),
4452
                .m2_err_o(      m2s5_err        ),
4453
                .m2_rty_o(      m2s5_rty        ),
4454
        .m2_cti_i(  m2s5_cti    ),
4455
        .m2_bte_i(  m2s5_bte    ),
4456
                .m3_data_i(     m3s5_data_o     ),
4457
                .m3_data_o(     m3s5_data_i     ),
4458
                .m3_addr_i(     m3s5_addr       ),
4459
                .m3_sel_i(      m3s5_sel        ),
4460
                .m3_we_i(       m3s5_we ),
4461
                .m3_cyc_i(      m3s5_cyc        ),
4462
                .m3_stb_i(      m3s5_stb        ),
4463
                .m3_ack_o(      m3s5_ack        ),
4464
                .m3_err_o(      m3s5_err        ),
4465
                .m3_rty_o(      m3s5_rty        ),
4466
        .m3_cti_i(  m3s5_cti    ),
4467
        .m3_bte_i(  m3s5_bte    ),
4468
                .m4_data_i(     m4s5_data_o     ),
4469
                .m4_data_o(     m4s5_data_i     ),
4470
                .m4_addr_i(     m4s5_addr       ),
4471
                .m4_sel_i(      m4s5_sel        ),
4472
                .m4_we_i(       m4s5_we ),
4473
                .m4_cyc_i(      m4s5_cyc        ),
4474
                .m4_stb_i(      m4s5_stb        ),
4475
                .m4_ack_o(      m4s5_ack        ),
4476
                .m4_err_o(      m4s5_err        ),
4477
                .m4_rty_o(      m4s5_rty        ),
4478
        .m4_cti_i(  m4s5_cti    ),
4479
        .m4_bte_i(  m4s5_bte    ),
4480
                .m5_data_i(     m5s5_data_o     ),
4481
                .m5_data_o(     m5s5_data_i     ),
4482
                .m5_addr_i(     m5s5_addr       ),
4483
                .m5_sel_i(      m5s5_sel        ),
4484
                .m5_we_i(       m5s5_we ),
4485
                .m5_cyc_i(      m5s5_cyc        ),
4486
                .m5_stb_i(      m5s5_stb        ),
4487
                .m5_ack_o(      m5s5_ack        ),
4488
                .m5_err_o(      m5s5_err        ),
4489
                .m5_rty_o(      m5s5_rty        ),
4490
        .m5_cti_i(  m5s5_cti    ),
4491
        .m5_bte_i(  m5s5_bte    ),
4492
                .m6_data_i(     m6s5_data_o     ),
4493
                .m6_data_o(     m6s5_data_i     ),
4494
                .m6_addr_i(     m6s5_addr       ),
4495
                .m6_sel_i(      m6s5_sel        ),
4496
                .m6_we_i(       m6s5_we ),
4497
                .m6_cyc_i(      m6s5_cyc        ),
4498
                .m6_stb_i(      m6s5_stb        ),
4499
                .m6_ack_o(      m6s5_ack        ),
4500
                .m6_err_o(      m6s5_err        ),
4501
                .m6_rty_o(      m6s5_rty        ),
4502
        .m6_cti_i(  m6s5_cti    ),
4503
        .m6_bte_i(  m6s5_bte    ),
4504
                .m7_data_i(     m7s5_data_o     ),
4505
                .m7_data_o(     m7s5_data_i     ),
4506
                .m7_addr_i(     m7s5_addr       ),
4507
                .m7_sel_i(      m7s5_sel        ),
4508
                .m7_we_i(       m7s5_we ),
4509
                .m7_cyc_i(      m7s5_cyc        ),
4510
                .m7_stb_i(      m7s5_stb        ),
4511
                .m7_ack_o(      m7s5_ack        ),
4512
                .m7_err_o(      m7s5_err        ),
4513
                .m7_rty_o(      m7s5_rty        ),
4514
        .m7_cti_i(  m7s5_cti    ),
4515
        .m7_bte_i(  m7s5_bte    )
4516
                );
4517
 
4518
wb_conmax_slave_if #(pri_sel6,aw,dw,sw) s6(
4519
                .clk_i(         clk_i           ),
4520
                .rst_i(         rst_i           ),
4521
                .conf(          conf6           ),
4522
                .wb_data_i(     s6_data_i       ),
4523
                .wb_data_o(     s6_data_o       ),
4524
                .wb_addr_o(     s6_addr_o       ),
4525
                .wb_sel_o(      s6_sel_o        ),
4526
                .wb_we_o(       s6_we_o         ),
4527
                .wb_cyc_o(      s6_cyc_o        ),
4528
                .wb_stb_o(      s6_stb_o        ),
4529
                .wb_ack_i(      s6_ack_i        ),
4530
                .wb_err_i(      s6_err_i        ),
4531
                .wb_rty_i(      s6_rty_i        ),
4532
        .wb_cti_o(  s6_cti_o    ),
4533
        .wb_bte_o(  s6_bte_o    ),
4534
                .m0_data_i(     m0s6_data_o     ),
4535
                .m0_data_o(     m0s6_data_i     ),
4536
                .m0_addr_i(     m0s6_addr       ),
4537
                .m0_sel_i(      m0s6_sel        ),
4538
                .m0_we_i(       m0s6_we ),
4539
                .m0_cyc_i(      m0s6_cyc        ),
4540
                .m0_stb_i(      m0s6_stb        ),
4541
                .m0_ack_o(      m0s6_ack        ),
4542
                .m0_err_o(      m0s6_err        ),
4543
                .m0_rty_o(      m0s6_rty        ),
4544
        .m0_cti_i(  m0s6_cti    ),
4545
        .m0_bte_i(  m0s6_bte    ),
4546
                .m1_data_i(     m1s6_data_o     ),
4547
                .m1_data_o(     m1s6_data_i     ),
4548
                .m1_addr_i(     m1s6_addr       ),
4549
                .m1_sel_i(      m1s6_sel        ),
4550
                .m1_we_i(       m1s6_we ),
4551
                .m1_cyc_i(      m1s6_cyc        ),
4552
                .m1_stb_i(      m1s6_stb        ),
4553
                .m1_ack_o(      m1s6_ack        ),
4554
                .m1_err_o(      m1s6_err        ),
4555
                .m1_rty_o(      m1s6_rty        ),
4556
        .m1_cti_i(  m1s6_cti    ),
4557
        .m1_bte_i(  m1s6_bte    ),
4558
                .m2_data_i(     m2s6_data_o     ),
4559
                .m2_data_o(     m2s6_data_i     ),
4560
                .m2_addr_i(     m2s6_addr       ),
4561
                .m2_sel_i(      m2s6_sel        ),
4562
                .m2_we_i(       m2s6_we ),
4563
                .m2_cyc_i(      m2s6_cyc        ),
4564
                .m2_stb_i(      m2s6_stb        ),
4565
                .m2_ack_o(      m2s6_ack        ),
4566
                .m2_err_o(      m2s6_err        ),
4567
                .m2_rty_o(      m2s6_rty        ),
4568
        .m2_cti_i(  m2s6_cti    ),
4569
        .m2_bte_i(  m2s6_bte    ),
4570
                .m3_data_i(     m3s6_data_o     ),
4571
                .m3_data_o(     m3s6_data_i     ),
4572
                .m3_addr_i(     m3s6_addr       ),
4573
                .m3_sel_i(      m3s6_sel        ),
4574
                .m3_we_i(       m3s6_we ),
4575
                .m3_cyc_i(      m3s6_cyc        ),
4576
                .m3_stb_i(      m3s6_stb        ),
4577
                .m3_ack_o(      m3s6_ack        ),
4578
                .m3_err_o(      m3s6_err        ),
4579
                .m3_rty_o(      m3s6_rty        ),
4580
        .m3_cti_i(  m3s6_cti    ),
4581
        .m3_bte_i(  m3s6_bte    ),
4582
                .m4_data_i(     m4s6_data_o     ),
4583
                .m4_data_o(     m4s6_data_i     ),
4584
                .m4_addr_i(     m4s6_addr       ),
4585
                .m4_sel_i(      m4s6_sel        ),
4586
                .m4_we_i(       m4s6_we ),
4587
                .m4_cyc_i(      m4s6_cyc        ),
4588
                .m4_stb_i(      m4s6_stb        ),
4589
                .m4_ack_o(      m4s6_ack        ),
4590
                .m4_err_o(      m4s6_err        ),
4591
                .m4_rty_o(      m4s6_rty        ),
4592
        .m4_cti_i(  m4s6_cti    ),
4593
        .m4_bte_i(  m4s6_bte    ),
4594
                .m5_data_i(     m5s6_data_o     ),
4595
                .m5_data_o(     m5s6_data_i     ),
4596
                .m5_addr_i(     m5s6_addr       ),
4597
                .m5_sel_i(      m5s6_sel        ),
4598
                .m5_we_i(       m5s6_we ),
4599
                .m5_cyc_i(      m5s6_cyc        ),
4600
                .m5_stb_i(      m5s6_stb        ),
4601
                .m5_ack_o(      m5s6_ack        ),
4602
                .m5_err_o(      m5s6_err        ),
4603
                .m5_rty_o(      m5s6_rty        ),
4604
        .m5_cti_i(  m5s6_cti    ),
4605
        .m5_bte_i(  m5s6_bte    ),
4606
                .m6_data_i(     m6s6_data_o     ),
4607
                .m6_data_o(     m6s6_data_i     ),
4608
                .m6_addr_i(     m6s6_addr       ),
4609
                .m6_sel_i(      m6s6_sel        ),
4610
                .m6_we_i(       m6s6_we ),
4611
                .m6_cyc_i(      m6s6_cyc        ),
4612
                .m6_stb_i(      m6s6_stb        ),
4613
                .m6_ack_o(      m6s6_ack        ),
4614
                .m6_err_o(      m6s6_err        ),
4615
                .m6_rty_o(      m6s6_rty        ),
4616
        .m6_cti_i(  m6s6_cti    ),
4617
        .m6_bte_i(  m6s6_bte    ),
4618
                .m7_data_i(     m7s6_data_o     ),
4619
                .m7_data_o(     m7s6_data_i     ),
4620
                .m7_addr_i(     m7s6_addr       ),
4621
                .m7_sel_i(      m7s6_sel        ),
4622
                .m7_we_i(       m7s6_we ),
4623
                .m7_cyc_i(      m7s6_cyc        ),
4624
                .m7_stb_i(      m7s6_stb        ),
4625
                .m7_ack_o(      m7s6_ack        ),
4626
                .m7_err_o(      m7s6_err        ),
4627
                .m7_rty_o(      m7s6_rty        ),
4628
        .m7_cti_i(  m7s6_cti    ),
4629
        .m7_bte_i(  m7s6_bte    )
4630
                );
4631
 
4632
wb_conmax_slave_if #(pri_sel7,aw,dw,sw) s7(
4633
                .clk_i(         clk_i           ),
4634
                .rst_i(         rst_i           ),
4635
                .conf(          conf7           ),
4636
                .wb_data_i(     s7_data_i       ),
4637
                .wb_data_o(     s7_data_o       ),
4638
                .wb_addr_o(     s7_addr_o       ),
4639
                .wb_sel_o(      s7_sel_o        ),
4640
                .wb_we_o(       s7_we_o         ),
4641
                .wb_cyc_o(      s7_cyc_o        ),
4642
                .wb_stb_o(      s7_stb_o        ),
4643
                .wb_ack_i(      s7_ack_i        ),
4644
                .wb_err_i(      s7_err_i        ),
4645
                .wb_rty_i(      s7_rty_i        ),
4646
        .wb_cti_o(  s7_cti_o    ),
4647
        .wb_bte_o(  s7_bte_o    ),
4648
                .m0_data_i(     m0s7_data_o     ),
4649
                .m0_data_o(     m0s7_data_i     ),
4650
                .m0_addr_i(     m0s7_addr       ),
4651
                .m0_sel_i(      m0s7_sel        ),
4652
                .m0_we_i(       m0s7_we ),
4653
                .m0_cyc_i(      m0s7_cyc        ),
4654
                .m0_stb_i(      m0s7_stb        ),
4655
                .m0_ack_o(      m0s7_ack        ),
4656
                .m0_err_o(      m0s7_err        ),
4657
                .m0_rty_o(      m0s7_rty        ),
4658
        .m0_cti_i(  m0s7_cti    ),
4659
        .m0_bte_i(  m0s7_bte    ),
4660
                .m1_data_i(     m1s7_data_o     ),
4661
                .m1_data_o(     m1s7_data_i     ),
4662
                .m1_addr_i(     m1s7_addr       ),
4663
                .m1_sel_i(      m1s7_sel        ),
4664
                .m1_we_i(       m1s7_we ),
4665
                .m1_cyc_i(      m1s7_cyc        ),
4666
                .m1_stb_i(      m1s7_stb        ),
4667
                .m1_ack_o(      m1s7_ack        ),
4668
                .m1_err_o(      m1s7_err        ),
4669
                .m1_rty_o(      m1s7_rty        ),
4670
        .m1_cti_i(  m1s7_cti    ),
4671
        .m1_bte_i(  m1s7_bte    ),
4672
                .m2_data_i(     m2s7_data_o     ),
4673
                .m2_data_o(     m2s7_data_i     ),
4674
                .m2_addr_i(     m2s7_addr       ),
4675
                .m2_sel_i(      m2s7_sel        ),
4676
                .m2_we_i(       m2s7_we ),
4677
                .m2_cyc_i(      m2s7_cyc        ),
4678
                .m2_stb_i(      m2s7_stb        ),
4679
                .m2_ack_o(      m2s7_ack        ),
4680
                .m2_err_o(      m2s7_err        ),
4681
                .m2_rty_o(      m2s7_rty        ),
4682
        .m2_cti_i(  m2s7_cti    ),
4683
        .m2_bte_i(  m2s7_bte    ),
4684
                .m3_data_i(     m3s7_data_o     ),
4685
                .m3_data_o(     m3s7_data_i     ),
4686
                .m3_addr_i(     m3s7_addr       ),
4687
                .m3_sel_i(      m3s7_sel        ),
4688
                .m3_we_i(       m3s7_we ),
4689
                .m3_cyc_i(      m3s7_cyc        ),
4690
                .m3_stb_i(      m3s7_stb        ),
4691
                .m3_ack_o(      m3s7_ack        ),
4692
                .m3_err_o(      m3s7_err        ),
4693
                .m3_rty_o(      m3s7_rty        ),
4694
        .m3_cti_i(  m3s7_cti    ),
4695
        .m3_bte_i(  m3s7_bte    ),
4696
                .m4_data_i(     m4s7_data_o     ),
4697
                .m4_data_o(     m4s7_data_i     ),
4698
                .m4_addr_i(     m4s7_addr       ),
4699
                .m4_sel_i(      m4s7_sel        ),
4700
                .m4_we_i(       m4s7_we ),
4701
                .m4_cyc_i(      m4s7_cyc        ),
4702
                .m4_stb_i(      m4s7_stb        ),
4703
                .m4_ack_o(      m4s7_ack        ),
4704
                .m4_err_o(      m4s7_err        ),
4705
                .m4_rty_o(      m4s7_rty        ),
4706
        .m4_cti_i(  m4s7_cti    ),
4707
        .m4_bte_i(  m4s7_bte    ),
4708
                .m5_data_i(     m5s7_data_o     ),
4709
                .m5_data_o(     m5s7_data_i     ),
4710
                .m5_addr_i(     m5s7_addr       ),
4711
                .m5_sel_i(      m5s7_sel        ),
4712
                .m5_we_i(       m5s7_we ),
4713
                .m5_cyc_i(      m5s7_cyc        ),
4714
                .m5_stb_i(      m5s7_stb        ),
4715
                .m5_ack_o(      m5s7_ack        ),
4716
                .m5_err_o(      m5s7_err        ),
4717
                .m5_rty_o(      m5s7_rty        ),
4718
        .m5_cti_i(  m5s7_cti    ),
4719
        .m5_bte_i(  m5s7_bte    ),
4720
                .m6_data_i(     m6s7_data_o     ),
4721
                .m6_data_o(     m6s7_data_i     ),
4722
                .m6_addr_i(     m6s7_addr       ),
4723
                .m6_sel_i(      m6s7_sel        ),
4724
                .m6_we_i(       m6s7_we ),
4725
                .m6_cyc_i(      m6s7_cyc        ),
4726
                .m6_stb_i(      m6s7_stb        ),
4727
                .m6_ack_o(      m6s7_ack        ),
4728
                .m6_err_o(      m6s7_err        ),
4729
                .m6_rty_o(      m6s7_rty        ),
4730
        .m6_cti_i(  m6s7_cti    ),
4731
        .m6_bte_i(  m6s7_bte    ),
4732
                .m7_data_i(     m7s7_data_o     ),
4733
                .m7_data_o(     m7s7_data_i     ),
4734
                .m7_addr_i(     m7s7_addr       ),
4735
                .m7_sel_i(      m7s7_sel        ),
4736
                .m7_we_i(       m7s7_we ),
4737
                .m7_cyc_i(      m7s7_cyc        ),
4738
                .m7_stb_i(      m7s7_stb        ),
4739
                .m7_ack_o(      m7s7_ack        ),
4740
                .m7_err_o(      m7s7_err        ),
4741
                .m7_rty_o(      m7s7_rty        ),
4742
        .m7_cti_i(  m7s7_cti    ),
4743
        .m7_bte_i(  m7s7_bte    )
4744
                );
4745
 
4746
wb_conmax_slave_if #(pri_sel8,aw,dw,sw) s8(
4747
                .clk_i(         clk_i           ),
4748
                .rst_i(         rst_i           ),
4749
                .conf(          conf8           ),
4750
                .wb_data_i(     s8_data_i       ),
4751
                .wb_data_o(     s8_data_o       ),
4752
                .wb_addr_o(     s8_addr_o       ),
4753
                .wb_sel_o(      s8_sel_o        ),
4754
                .wb_we_o(       s8_we_o         ),
4755
                .wb_cyc_o(      s8_cyc_o        ),
4756
                .wb_stb_o(      s8_stb_o        ),
4757
                .wb_ack_i(      s8_ack_i        ),
4758
                .wb_err_i(      s8_err_i        ),
4759
                .wb_rty_i(      s8_rty_i        ),
4760
        .wb_cti_o(  s8_cti_o    ),
4761
        .wb_bte_o(  s8_bte_o    ),
4762
                .m0_data_i(     m0s8_data_o     ),
4763
                .m0_data_o(     m0s8_data_i     ),
4764
                .m0_addr_i(     m0s8_addr       ),
4765
                .m0_sel_i(      m0s8_sel        ),
4766
                .m0_we_i(       m0s8_we ),
4767
                .m0_cyc_i(      m0s8_cyc        ),
4768
                .m0_stb_i(      m0s8_stb        ),
4769
                .m0_ack_o(      m0s8_ack        ),
4770
                .m0_err_o(      m0s8_err        ),
4771
                .m0_rty_o(      m0s8_rty        ),
4772
        .m0_cti_i(  m0s8_cti    ),
4773
        .m0_bte_i(  m0s8_bte    ),
4774
                .m1_data_i(     m1s8_data_o     ),
4775
                .m1_data_o(     m1s8_data_i     ),
4776
                .m1_addr_i(     m1s8_addr       ),
4777
                .m1_sel_i(      m1s8_sel        ),
4778
                .m1_we_i(       m1s8_we ),
4779
                .m1_cyc_i(      m1s8_cyc        ),
4780
                .m1_stb_i(      m1s8_stb        ),
4781
                .m1_ack_o(      m1s8_ack        ),
4782
                .m1_err_o(      m1s8_err        ),
4783
                .m1_rty_o(      m1s8_rty        ),
4784
        .m1_cti_i(  m1s8_cti    ),
4785
        .m1_bte_i(  m1s8_bte    ),
4786
                .m2_data_i(     m2s8_data_o     ),
4787
                .m2_data_o(     m2s8_data_i     ),
4788
                .m2_addr_i(     m2s8_addr       ),
4789
                .m2_sel_i(      m2s8_sel        ),
4790
                .m2_we_i(       m2s8_we ),
4791
                .m2_cyc_i(      m2s8_cyc        ),
4792
                .m2_stb_i(      m2s8_stb        ),
4793
                .m2_ack_o(      m2s8_ack        ),
4794
                .m2_err_o(      m2s8_err        ),
4795
                .m2_rty_o(      m2s8_rty        ),
4796
        .m2_cti_i(  m2s8_cti    ),
4797
        .m2_bte_i(  m2s8_bte    ),
4798
                .m3_data_i(     m3s8_data_o     ),
4799
                .m3_data_o(     m3s8_data_i     ),
4800
                .m3_addr_i(     m3s8_addr       ),
4801
                .m3_sel_i(      m3s8_sel        ),
4802
                .m3_we_i(       m3s8_we ),
4803
                .m3_cyc_i(      m3s8_cyc        ),
4804
                .m3_stb_i(      m3s8_stb        ),
4805
                .m3_ack_o(      m3s8_ack        ),
4806
                .m3_err_o(      m3s8_err        ),
4807
                .m3_rty_o(      m3s8_rty        ),
4808
        .m3_cti_i(  m3s8_cti    ),
4809
        .m3_bte_i(  m3s8_bte    ),
4810
                .m4_data_i(     m4s8_data_o     ),
4811
                .m4_data_o(     m4s8_data_i     ),
4812
                .m4_addr_i(     m4s8_addr       ),
4813
                .m4_sel_i(      m4s8_sel        ),
4814
                .m4_we_i(       m4s8_we ),
4815
                .m4_cyc_i(      m4s8_cyc        ),
4816
                .m4_stb_i(      m4s8_stb        ),
4817
                .m4_ack_o(      m4s8_ack        ),
4818
                .m4_err_o(      m4s8_err        ),
4819
                .m4_rty_o(      m4s8_rty        ),
4820
        .m4_cti_i(  m4s8_cti    ),
4821
        .m4_bte_i(  m4s8_bte    ),
4822
                .m5_data_i(     m5s8_data_o     ),
4823
                .m5_data_o(     m5s8_data_i     ),
4824
                .m5_addr_i(     m5s8_addr       ),
4825
                .m5_sel_i(      m5s8_sel        ),
4826
                .m5_we_i(       m5s8_we ),
4827
                .m5_cyc_i(      m5s8_cyc        ),
4828
                .m5_stb_i(      m5s8_stb        ),
4829
                .m5_ack_o(      m5s8_ack        ),
4830
                .m5_err_o(      m5s8_err        ),
4831
                .m5_rty_o(      m5s8_rty        ),
4832
        .m5_cti_i(  m5s8_cti    ),
4833
        .m5_bte_i(  m5s8_bte    ),
4834
                .m6_data_i(     m6s8_data_o     ),
4835
                .m6_data_o(     m6s8_data_i     ),
4836
                .m6_addr_i(     m6s8_addr       ),
4837
                .m6_sel_i(      m6s8_sel        ),
4838
                .m6_we_i(       m6s8_we ),
4839
                .m6_cyc_i(      m6s8_cyc        ),
4840
                .m6_stb_i(      m6s8_stb        ),
4841
                .m6_ack_o(      m6s8_ack        ),
4842
                .m6_err_o(      m6s8_err        ),
4843
                .m6_rty_o(      m6s8_rty        ),
4844
        .m6_cti_i(  m6s8_cti    ),
4845
        .m6_bte_i(  m6s8_bte    ),
4846
                .m7_data_i(     m7s8_data_o     ),
4847
                .m7_data_o(     m7s8_data_i     ),
4848
                .m7_addr_i(     m7s8_addr       ),
4849
                .m7_sel_i(      m7s8_sel        ),
4850
                .m7_we_i(       m7s8_we ),
4851
                .m7_cyc_i(      m7s8_cyc        ),
4852
                .m7_stb_i(      m7s8_stb        ),
4853
                .m7_ack_o(      m7s8_ack        ),
4854
                .m7_err_o(      m7s8_err        ),
4855
                .m7_rty_o(      m7s8_rty        ),
4856
        .m7_cti_i(  m7s8_cti    ),
4857
        .m7_bte_i(  m7s8_bte    )
4858
                );
4859
 
4860
wb_conmax_slave_if #(pri_sel9,aw,dw,sw) s9(
4861
                .clk_i(         clk_i           ),
4862
                .rst_i(         rst_i           ),
4863
                .conf(          conf9           ),
4864
                .wb_data_i(     s9_data_i       ),
4865
                .wb_data_o(     s9_data_o       ),
4866
                .wb_addr_o(     s9_addr_o       ),
4867
                .wb_sel_o(      s9_sel_o        ),
4868
                .wb_we_o(       s9_we_o         ),
4869
                .wb_cyc_o(      s9_cyc_o        ),
4870
                .wb_stb_o(      s9_stb_o        ),
4871
                .wb_ack_i(      s9_ack_i        ),
4872
                .wb_err_i(      s9_err_i        ),
4873
                .wb_rty_i(      s9_rty_i        ),
4874
        .wb_cti_o(  s9_cti_o    ),
4875
        .wb_bte_o(  s9_bte_o    ),
4876
                .m0_data_i(     m0s9_data_o     ),
4877
                .m0_data_o(     m0s9_data_i     ),
4878
                .m0_addr_i(     m0s9_addr       ),
4879
                .m0_sel_i(      m0s9_sel        ),
4880
                .m0_we_i(       m0s9_we ),
4881
                .m0_cyc_i(      m0s9_cyc        ),
4882
                .m0_stb_i(      m0s9_stb        ),
4883
                .m0_ack_o(      m0s9_ack        ),
4884
                .m0_err_o(      m0s9_err        ),
4885
                .m0_rty_o(      m0s9_rty        ),
4886
        .m0_cti_i(  m0s9_cti    ),
4887
        .m0_bte_i(  m0s9_bte    ),
4888
                .m1_data_i(     m1s9_data_o     ),
4889
                .m1_data_o(     m1s9_data_i     ),
4890
                .m1_addr_i(     m1s9_addr       ),
4891
                .m1_sel_i(      m1s9_sel        ),
4892
                .m1_we_i(       m1s9_we ),
4893
                .m1_cyc_i(      m1s9_cyc        ),
4894
                .m1_stb_i(      m1s9_stb        ),
4895
                .m1_ack_o(      m1s9_ack        ),
4896
                .m1_err_o(      m1s9_err        ),
4897
                .m1_rty_o(      m1s9_rty        ),
4898
        .m1_cti_i(  m1s9_cti    ),
4899
        .m1_bte_i(  m1s9_bte    ),
4900
                .m2_data_i(     m2s9_data_o     ),
4901
                .m2_data_o(     m2s9_data_i     ),
4902
                .m2_addr_i(     m2s9_addr       ),
4903
                .m2_sel_i(      m2s9_sel        ),
4904
                .m2_we_i(       m2s9_we ),
4905
                .m2_cyc_i(      m2s9_cyc        ),
4906
                .m2_stb_i(      m2s9_stb        ),
4907
                .m2_ack_o(      m2s9_ack        ),
4908
                .m2_err_o(      m2s9_err        ),
4909
                .m2_rty_o(      m2s9_rty        ),
4910
        .m2_cti_i(  m2s9_cti    ),
4911
        .m2_bte_i(  m2s9_bte    ),
4912
                .m3_data_i(     m3s9_data_o     ),
4913
                .m3_data_o(     m3s9_data_i     ),
4914
                .m3_addr_i(     m3s9_addr       ),
4915
                .m3_sel_i(      m3s9_sel        ),
4916
                .m3_we_i(       m3s9_we ),
4917
                .m3_cyc_i(      m3s9_cyc        ),
4918
                .m3_stb_i(      m3s9_stb        ),
4919
                .m3_ack_o(      m3s9_ack        ),
4920
                .m3_err_o(      m3s9_err        ),
4921
                .m3_rty_o(      m3s9_rty        ),
4922
        .m3_cti_i(  m3s9_cti    ),
4923
        .m3_bte_i(  m3s9_bte    ),
4924
                .m4_data_i(     m4s9_data_o     ),
4925
                .m4_data_o(     m4s9_data_i     ),
4926
                .m4_addr_i(     m4s9_addr       ),
4927
                .m4_sel_i(      m4s9_sel        ),
4928
                .m4_we_i(       m4s9_we ),
4929
                .m4_cyc_i(      m4s9_cyc        ),
4930
                .m4_stb_i(      m4s9_stb        ),
4931
                .m4_ack_o(      m4s9_ack        ),
4932
                .m4_err_o(      m4s9_err        ),
4933
                .m4_rty_o(      m4s9_rty        ),
4934
        .m4_cti_i(  m4s9_cti    ),
4935
        .m4_bte_i(  m4s9_bte    ),
4936
                .m5_data_i(     m5s9_data_o     ),
4937
                .m5_data_o(     m5s9_data_i     ),
4938
                .m5_addr_i(     m5s9_addr       ),
4939
                .m5_sel_i(      m5s9_sel        ),
4940
                .m5_we_i(       m5s9_we ),
4941
                .m5_cyc_i(      m5s9_cyc        ),
4942
                .m5_stb_i(      m5s9_stb        ),
4943
                .m5_ack_o(      m5s9_ack        ),
4944
                .m5_err_o(      m5s9_err        ),
4945
                .m5_rty_o(      m5s9_rty        ),
4946
        .m5_cti_i(  m5s9_cti    ),
4947
        .m5_bte_i(  m5s9_bte    ),
4948
                .m6_data_i(     m6s9_data_o     ),
4949
                .m6_data_o(     m6s9_data_i     ),
4950
                .m6_addr_i(     m6s9_addr       ),
4951
                .m6_sel_i(      m6s9_sel        ),
4952
                .m6_we_i(       m6s9_we ),
4953
                .m6_cyc_i(      m6s9_cyc        ),
4954
                .m6_stb_i(      m6s9_stb        ),
4955
                .m6_ack_o(      m6s9_ack        ),
4956
                .m6_err_o(      m6s9_err        ),
4957
                .m6_rty_o(      m6s9_rty        ),
4958
        .m6_cti_i(  m6s9_cti    ),
4959
        .m6_bte_i(  m6s9_bte    ),
4960
                .m7_data_i(     m7s9_data_o     ),
4961
                .m7_data_o(     m7s9_data_i     ),
4962
                .m7_addr_i(     m7s9_addr       ),
4963
                .m7_sel_i(      m7s9_sel        ),
4964
                .m7_we_i(       m7s9_we ),
4965
                .m7_cyc_i(      m7s9_cyc        ),
4966
                .m7_stb_i(      m7s9_stb        ),
4967
                .m7_ack_o(      m7s9_ack        ),
4968
                .m7_err_o(      m7s9_err        ),
4969
                .m7_rty_o(      m7s9_rty        ),
4970
        .m7_cti_i(  m7s9_cti    ),
4971
        .m7_bte_i(  m7s9_bte    )
4972
                );
4973
 
4974
wb_conmax_slave_if #(pri_sel10,aw,dw,sw) s10(
4975
                .clk_i(         clk_i           ),
4976
                .rst_i(         rst_i           ),
4977
                .conf(          conf10          ),
4978
                .wb_data_i(     s10_data_i      ),
4979
                .wb_data_o(     s10_data_o      ),
4980
                .wb_addr_o(     s10_addr_o      ),
4981
                .wb_sel_o(      s10_sel_o       ),
4982
                .wb_we_o(       s10_we_o        ),
4983
                .wb_cyc_o(      s10_cyc_o       ),
4984
                .wb_stb_o(      s10_stb_o       ),
4985
                .wb_ack_i(      s10_ack_i       ),
4986
                .wb_err_i(      s10_err_i       ),
4987
                .wb_rty_i(      s10_rty_i       ),
4988
        .wb_cti_o(  s10_cti_o   ),
4989
        .wb_bte_o(  s10_bte_o   ),
4990
                .m0_data_i(     m0s10_data_o    ),
4991
                .m0_data_o(     m0s10_data_i    ),
4992
                .m0_addr_i(     m0s10_addr      ),
4993
                .m0_sel_i(      m0s10_sel       ),
4994
                .m0_we_i(       m0s10_we        ),
4995
                .m0_cyc_i(      m0s10_cyc       ),
4996
                .m0_stb_i(      m0s10_stb       ),
4997
                .m0_ack_o(      m0s10_ack       ),
4998
                .m0_err_o(      m0s10_err       ),
4999
                .m0_rty_o(      m0s10_rty       ),
5000
        .m0_cti_i(  m0s10_cti   ),
5001
        .m0_bte_i(  m0s10_bte   ),
5002
                .m1_data_i(     m1s10_data_o    ),
5003
                .m1_data_o(     m1s10_data_i    ),
5004
                .m1_addr_i(     m1s10_addr      ),
5005
                .m1_sel_i(      m1s10_sel       ),
5006
                .m1_we_i(       m1s10_we        ),
5007
                .m1_cyc_i(      m1s10_cyc       ),
5008
                .m1_stb_i(      m1s10_stb       ),
5009
                .m1_ack_o(      m1s10_ack       ),
5010
                .m1_err_o(      m1s10_err       ),
5011
                .m1_rty_o(      m1s10_rty       ),
5012
        .m1_cti_i(  m1s10_cti   ),
5013
        .m1_bte_i(  m1s10_bte   ),
5014
                .m2_data_i(     m2s10_data_o    ),
5015
                .m2_data_o(     m2s10_data_i    ),
5016
                .m2_addr_i(     m2s10_addr      ),
5017
                .m2_sel_i(      m2s10_sel       ),
5018
                .m2_we_i(       m2s10_we        ),
5019
                .m2_cyc_i(      m2s10_cyc       ),
5020
                .m2_stb_i(      m2s10_stb       ),
5021
                .m2_ack_o(      m2s10_ack       ),
5022
                .m2_err_o(      m2s10_err       ),
5023
                .m2_rty_o(      m2s10_rty       ),
5024
        .m2_cti_i(  m2s10_cti   ),
5025
        .m2_bte_i(  m2s10_bte   ),
5026
                .m3_data_i(     m3s10_data_o    ),
5027
                .m3_data_o(     m3s10_data_i    ),
5028
                .m3_addr_i(     m3s10_addr      ),
5029
                .m3_sel_i(      m3s10_sel       ),
5030
                .m3_we_i(       m3s10_we        ),
5031
                .m3_cyc_i(      m3s10_cyc       ),
5032
                .m3_stb_i(      m3s10_stb       ),
5033
                .m3_ack_o(      m3s10_ack       ),
5034
                .m3_err_o(      m3s10_err       ),
5035
                .m3_rty_o(      m3s10_rty       ),
5036
        .m3_cti_i(  m3s10_cti   ),
5037
        .m3_bte_i(  m3s10_bte   ),
5038
                .m4_data_i(     m4s10_data_o    ),
5039
                .m4_data_o(     m4s10_data_i    ),
5040
                .m4_addr_i(     m4s10_addr      ),
5041
                .m4_sel_i(      m4s10_sel       ),
5042
                .m4_we_i(       m4s10_we        ),
5043
                .m4_cyc_i(      m4s10_cyc       ),
5044
                .m4_stb_i(      m4s10_stb       ),
5045
                .m4_ack_o(      m4s10_ack       ),
5046
                .m4_err_o(      m4s10_err       ),
5047
                .m4_rty_o(      m4s10_rty       ),
5048
        .m4_cti_i(  m4s10_cti   ),
5049
        .m4_bte_i(  m4s10_bte   ),
5050
                .m5_data_i(     m5s10_data_o    ),
5051
                .m5_data_o(     m5s10_data_i    ),
5052
                .m5_addr_i(     m5s10_addr      ),
5053
                .m5_sel_i(      m5s10_sel       ),
5054
                .m5_we_i(       m5s10_we        ),
5055
                .m5_cyc_i(      m5s10_cyc       ),
5056
                .m5_stb_i(      m5s10_stb       ),
5057
                .m5_ack_o(      m5s10_ack       ),
5058
                .m5_err_o(      m5s10_err       ),
5059
                .m5_rty_o(      m5s10_rty       ),
5060
        .m5_cti_i(  m5s10_cti   ),
5061
        .m5_bte_i(  m5s10_bte   ),
5062
                .m6_data_i(     m6s10_data_o    ),
5063
                .m6_data_o(     m6s10_data_i    ),
5064
                .m6_addr_i(     m6s10_addr      ),
5065
                .m6_sel_i(      m6s10_sel       ),
5066
                .m6_we_i(       m6s10_we        ),
5067
                .m6_cyc_i(      m6s10_cyc       ),
5068
                .m6_stb_i(      m6s10_stb       ),
5069
                .m6_ack_o(      m6s10_ack       ),
5070
                .m6_err_o(      m6s10_err       ),
5071
                .m6_rty_o(      m6s10_rty       ),
5072
        .m6_cti_i(  m6s10_cti   ),
5073
        .m6_bte_i(  m6s10_bte   ),
5074
                .m7_data_i(     m7s10_data_o    ),
5075
                .m7_data_o(     m7s10_data_i    ),
5076
                .m7_addr_i(     m7s10_addr      ),
5077
                .m7_sel_i(      m7s10_sel       ),
5078
                .m7_we_i(       m7s10_we        ),
5079
                .m7_cyc_i(      m7s10_cyc       ),
5080
                .m7_stb_i(      m7s10_stb       ),
5081
                .m7_ack_o(      m7s10_ack       ),
5082
                .m7_err_o(      m7s10_err       ),
5083
                .m7_rty_o(      m7s10_rty       ),
5084
        .m7_cti_i(  m7s10_cti   ),
5085
        .m7_bte_i(  m7s10_bte   )
5086
                );
5087
 
5088
wb_conmax_slave_if #(pri_sel11,aw,dw,sw) s11(
5089
                .clk_i(         clk_i           ),
5090
                .rst_i(         rst_i           ),
5091
                .conf(          conf11          ),
5092
                .wb_data_i(     s11_data_i      ),
5093
                .wb_data_o(     s11_data_o      ),
5094
                .wb_addr_o(     s11_addr_o      ),
5095
                .wb_sel_o(      s11_sel_o       ),
5096
                .wb_we_o(       s11_we_o        ),
5097
                .wb_cyc_o(      s11_cyc_o       ),
5098
                .wb_stb_o(      s11_stb_o       ),
5099
                .wb_ack_i(      s11_ack_i       ),
5100
                .wb_err_i(      s11_err_i       ),
5101
                .wb_rty_i(      s11_rty_i       ),
5102
        .wb_cti_o(  s11_cti_o   ),
5103
        .wb_bte_o(  s11_bte_o   ),
5104
                .m0_data_i(     m0s11_data_o    ),
5105
                .m0_data_o(     m0s11_data_i    ),
5106
                .m0_addr_i(     m0s11_addr      ),
5107
                .m0_sel_i(      m0s11_sel       ),
5108
                .m0_we_i(       m0s11_we        ),
5109
                .m0_cyc_i(      m0s11_cyc       ),
5110
                .m0_stb_i(      m0s11_stb       ),
5111
                .m0_ack_o(      m0s11_ack       ),
5112
                .m0_err_o(      m0s11_err       ),
5113
                .m0_rty_o(      m0s11_rty       ),
5114
        .m0_cti_i(  m0s11_cti   ),
5115
        .m0_bte_i(  m0s11_bte   ),
5116
                .m1_data_i(     m1s11_data_o    ),
5117
                .m1_data_o(     m1s11_data_i    ),
5118
                .m1_addr_i(     m1s11_addr      ),
5119
                .m1_sel_i(      m1s11_sel       ),
5120
                .m1_we_i(       m1s11_we        ),
5121
                .m1_cyc_i(      m1s11_cyc       ),
5122
                .m1_stb_i(      m1s11_stb       ),
5123
                .m1_ack_o(      m1s11_ack       ),
5124
                .m1_err_o(      m1s11_err       ),
5125
                .m1_rty_o(      m1s11_rty       ),
5126
        .m1_cti_i(  m1s11_cti   ),
5127
        .m1_bte_i(  m1s11_bte   ),
5128
                .m2_data_i(     m2s11_data_o    ),
5129
                .m2_data_o(     m2s11_data_i    ),
5130
                .m2_addr_i(     m2s11_addr      ),
5131
                .m2_sel_i(      m2s11_sel       ),
5132
                .m2_we_i(       m2s11_we        ),
5133
                .m2_cyc_i(      m2s11_cyc       ),
5134
                .m2_stb_i(      m2s11_stb       ),
5135
                .m2_ack_o(      m2s11_ack       ),
5136
                .m2_err_o(      m2s11_err       ),
5137
                .m2_rty_o(      m2s11_rty       ),
5138
        .m2_cti_i(  m2s11_cti   ),
5139
        .m2_bte_i(  m2s11_bte   ),
5140
                .m3_data_i(     m3s11_data_o    ),
5141
                .m3_data_o(     m3s11_data_i    ),
5142
                .m3_addr_i(     m3s11_addr      ),
5143
                .m3_sel_i(      m3s11_sel       ),
5144
                .m3_we_i(       m3s11_we        ),
5145
                .m3_cyc_i(      m3s11_cyc       ),
5146
                .m3_stb_i(      m3s11_stb       ),
5147
                .m3_ack_o(      m3s11_ack       ),
5148
                .m3_err_o(      m3s11_err       ),
5149
                .m3_rty_o(      m3s11_rty       ),
5150
        .m3_cti_i(  m3s11_cti   ),
5151
        .m3_bte_i(  m3s11_bte   ),
5152
                .m4_data_i(     m4s11_data_o    ),
5153
                .m4_data_o(     m4s11_data_i    ),
5154
                .m4_addr_i(     m4s11_addr      ),
5155
                .m4_sel_i(      m4s11_sel       ),
5156
                .m4_we_i(       m4s11_we        ),
5157
                .m4_cyc_i(      m4s11_cyc       ),
5158
                .m4_stb_i(      m4s11_stb       ),
5159
                .m4_ack_o(      m4s11_ack       ),
5160
                .m4_err_o(      m4s11_err       ),
5161
                .m4_rty_o(      m4s11_rty       ),
5162
        .m4_cti_i(  m4s11_cti   ),
5163
        .m4_bte_i(  m4s11_bte   ),
5164
                .m5_data_i(     m5s11_data_o    ),
5165
                .m5_data_o(     m5s11_data_i    ),
5166
                .m5_addr_i(     m5s11_addr      ),
5167
                .m5_sel_i(      m5s11_sel       ),
5168
                .m5_we_i(       m5s11_we        ),
5169
                .m5_cyc_i(      m5s11_cyc       ),
5170
                .m5_stb_i(      m5s11_stb       ),
5171
                .m5_ack_o(      m5s11_ack       ),
5172
                .m5_err_o(      m5s11_err       ),
5173
                .m5_rty_o(      m5s11_rty       ),
5174
        .m5_cti_i(  m5s11_cti   ),
5175
        .m5_bte_i(  m5s11_bte   ),
5176
                .m6_data_i(     m6s11_data_o    ),
5177
                .m6_data_o(     m6s11_data_i    ),
5178
                .m6_addr_i(     m6s11_addr      ),
5179
                .m6_sel_i(      m6s11_sel       ),
5180
                .m6_we_i(       m6s11_we        ),
5181
                .m6_cyc_i(      m6s11_cyc       ),
5182
                .m6_stb_i(      m6s11_stb       ),
5183
                .m6_ack_o(      m6s11_ack       ),
5184
                .m6_err_o(      m6s11_err       ),
5185
                .m6_rty_o(      m6s11_rty       ),
5186
        .m6_cti_i(  m6s11_cti   ),
5187
        .m6_bte_i(  m6s11_bte   ),
5188
                .m7_data_i(     m7s11_data_o    ),
5189
                .m7_data_o(     m7s11_data_i    ),
5190
                .m7_addr_i(     m7s11_addr      ),
5191
                .m7_sel_i(      m7s11_sel       ),
5192
                .m7_we_i(       m7s11_we        ),
5193
                .m7_cyc_i(      m7s11_cyc       ),
5194
                .m7_stb_i(      m7s11_stb       ),
5195
                .m7_ack_o(      m7s11_ack       ),
5196
                .m7_err_o(      m7s11_err       ),
5197
                .m7_rty_o(      m7s11_rty       ),
5198
        .m7_cti_i(  m7s11_cti   ),
5199
        .m7_bte_i(  m7s11_bte   )
5200
                );
5201
 
5202
wb_conmax_slave_if #(pri_sel12,aw,dw,sw) s12(
5203
                .clk_i(         clk_i           ),
5204
                .rst_i(         rst_i           ),
5205
                .conf(          conf12          ),
5206
                .wb_data_i(     s12_data_i      ),
5207
                .wb_data_o(     s12_data_o      ),
5208
                .wb_addr_o(     s12_addr_o      ),
5209
                .wb_sel_o(      s12_sel_o       ),
5210
                .wb_we_o(       s12_we_o        ),
5211
                .wb_cyc_o(      s12_cyc_o       ),
5212
                .wb_stb_o(      s12_stb_o       ),
5213
                .wb_ack_i(      s12_ack_i       ),
5214
                .wb_err_i(      s12_err_i       ),
5215
                .wb_rty_i(      s12_rty_i       ),
5216
        .wb_cti_o(  s12_cti_o   ),
5217
        .wb_bte_o(  s12_bte_o   ),
5218
                .m0_data_i(     m0s12_data_o    ),
5219
                .m0_data_o(     m0s12_data_i    ),
5220
                .m0_addr_i(     m0s12_addr      ),
5221
                .m0_sel_i(      m0s12_sel       ),
5222
                .m0_we_i(       m0s12_we        ),
5223
                .m0_cyc_i(      m0s12_cyc       ),
5224
                .m0_stb_i(      m0s12_stb       ),
5225
                .m0_ack_o(      m0s12_ack       ),
5226
                .m0_err_o(      m0s12_err       ),
5227
                .m0_rty_o(      m0s12_rty       ),
5228
        .m0_cti_i(  m0s12_cti   ),
5229
        .m0_bte_i(  m0s12_bte   ),
5230
                .m1_data_i(     m1s12_data_o    ),
5231
                .m1_data_o(     m1s12_data_i    ),
5232
                .m1_addr_i(     m1s12_addr      ),
5233
                .m1_sel_i(      m1s12_sel       ),
5234
                .m1_we_i(       m1s12_we        ),
5235
                .m1_cyc_i(      m1s12_cyc       ),
5236
                .m1_stb_i(      m1s12_stb       ),
5237
                .m1_ack_o(      m1s12_ack       ),
5238
                .m1_err_o(      m1s12_err       ),
5239
                .m1_rty_o(      m1s12_rty       ),
5240
        .m1_cti_i(  m1s12_cti   ),
5241
        .m1_bte_i(  m1s12_bte   ),
5242
                .m2_data_i(     m2s12_data_o    ),
5243
                .m2_data_o(     m2s12_data_i    ),
5244
                .m2_addr_i(     m2s12_addr      ),
5245
                .m2_sel_i(      m2s12_sel       ),
5246
                .m2_we_i(       m2s12_we        ),
5247
                .m2_cyc_i(      m2s12_cyc       ),
5248
                .m2_stb_i(      m2s12_stb       ),
5249
                .m2_ack_o(      m2s12_ack       ),
5250
                .m2_err_o(      m2s12_err       ),
5251
                .m2_rty_o(      m2s12_rty       ),
5252
        .m2_cti_i(  m2s12_cti   ),
5253
        .m2_bte_i(  m2s12_bte   ),
5254
                .m3_data_i(     m3s12_data_o    ),
5255
                .m3_data_o(     m3s12_data_i    ),
5256
                .m3_addr_i(     m3s12_addr      ),
5257
                .m3_sel_i(      m3s12_sel       ),
5258
                .m3_we_i(       m3s12_we        ),
5259
                .m3_cyc_i(      m3s12_cyc       ),
5260
                .m3_stb_i(      m3s12_stb       ),
5261
                .m3_ack_o(      m3s12_ack       ),
5262
                .m3_err_o(      m3s12_err       ),
5263
                .m3_rty_o(      m3s12_rty       ),
5264
        .m3_cti_i(  m3s12_cti   ),
5265
        .m3_bte_i(  m3s12_bte   ),
5266
                .m4_data_i(     m4s12_data_o    ),
5267
                .m4_data_o(     m4s12_data_i    ),
5268
                .m4_addr_i(     m4s12_addr      ),
5269
                .m4_sel_i(      m4s12_sel       ),
5270
                .m4_we_i(       m4s12_we        ),
5271
                .m4_cyc_i(      m4s12_cyc       ),
5272
                .m4_stb_i(      m4s12_stb       ),
5273
                .m4_ack_o(      m4s12_ack       ),
5274
                .m4_err_o(      m4s12_err       ),
5275
                .m4_rty_o(      m4s12_rty       ),
5276
        .m4_cti_i(  m4s12_cti   ),
5277
        .m4_bte_i(  m4s12_bte   ),
5278
                .m5_data_i(     m5s12_data_o    ),
5279
                .m5_data_o(     m5s12_data_i    ),
5280
                .m5_addr_i(     m5s12_addr      ),
5281
                .m5_sel_i(      m5s12_sel       ),
5282
                .m5_we_i(       m5s12_we        ),
5283
                .m5_cyc_i(      m5s12_cyc       ),
5284
                .m5_stb_i(      m5s12_stb       ),
5285
                .m5_ack_o(      m5s12_ack       ),
5286
                .m5_err_o(      m5s12_err       ),
5287
                .m5_rty_o(      m5s12_rty       ),
5288
        .m5_cti_i(  m5s12_cti   ),
5289
        .m5_bte_i(  m5s12_bte   ),
5290
                .m6_data_i(     m6s12_data_o    ),
5291
                .m6_data_o(     m6s12_data_i    ),
5292
                .m6_addr_i(     m6s12_addr      ),
5293
                .m6_sel_i(      m6s12_sel       ),
5294
                .m6_we_i(       m6s12_we        ),
5295
                .m6_cyc_i(      m6s12_cyc       ),
5296
                .m6_stb_i(      m6s12_stb       ),
5297
                .m6_ack_o(      m6s12_ack       ),
5298
                .m6_err_o(      m6s12_err       ),
5299
                .m6_rty_o(      m6s12_rty       ),
5300
        .m6_cti_i(  m6s12_cti   ),
5301
        .m6_bte_i(  m6s12_bte   ),
5302
                .m7_data_i(     m7s12_data_o    ),
5303
                .m7_data_o(     m7s12_data_i    ),
5304
                .m7_addr_i(     m7s12_addr      ),
5305
                .m7_sel_i(      m7s12_sel       ),
5306
                .m7_we_i(       m7s12_we        ),
5307
                .m7_cyc_i(      m7s12_cyc       ),
5308
                .m7_stb_i(      m7s12_stb       ),
5309
                .m7_ack_o(      m7s12_ack       ),
5310
                .m7_err_o(      m7s12_err       ),
5311
                .m7_rty_o(      m7s12_rty       ),
5312
        .m7_cti_i(  m7s12_cti   ),
5313
        .m7_bte_i(  m7s12_bte   )
5314
                );
5315
 
5316
wb_conmax_slave_if #(pri_sel13,aw,dw,sw) s13(
5317
                .clk_i(         clk_i           ),
5318
                .rst_i(         rst_i           ),
5319
                .conf(          conf13          ),
5320
                .wb_data_i(     s13_data_i      ),
5321
                .wb_data_o(     s13_data_o      ),
5322
                .wb_addr_o(     s13_addr_o      ),
5323
                .wb_sel_o(      s13_sel_o       ),
5324
                .wb_we_o(       s13_we_o        ),
5325
                .wb_cyc_o(      s13_cyc_o       ),
5326
                .wb_stb_o(      s13_stb_o       ),
5327
                .wb_ack_i(      s13_ack_i       ),
5328
                .wb_err_i(      s13_err_i       ),
5329
                .wb_rty_i(      s13_rty_i       ),
5330
        .wb_cti_o(  s13_cti_o   ),
5331
        .wb_bte_o(  s13_bte_o   ),
5332
                .m0_data_i(     m0s13_data_o    ),
5333
                .m0_data_o(     m0s13_data_i    ),
5334
                .m0_addr_i(     m0s13_addr      ),
5335
                .m0_sel_i(      m0s13_sel       ),
5336
                .m0_we_i(       m0s13_we        ),
5337
                .m0_cyc_i(      m0s13_cyc       ),
5338
                .m0_stb_i(      m0s13_stb       ),
5339
                .m0_ack_o(      m0s13_ack       ),
5340
                .m0_err_o(      m0s13_err       ),
5341
                .m0_rty_o(      m0s13_rty       ),
5342
        .m0_cti_i(  m0s13_cti   ),
5343
        .m0_bte_i(  m0s13_bte   ),
5344
                .m1_data_i(     m1s13_data_o    ),
5345
                .m1_data_o(     m1s13_data_i    ),
5346
                .m1_addr_i(     m1s13_addr      ),
5347
                .m1_sel_i(      m1s13_sel       ),
5348
                .m1_we_i(       m1s13_we        ),
5349
                .m1_cyc_i(      m1s13_cyc       ),
5350
                .m1_stb_i(      m1s13_stb       ),
5351
                .m1_ack_o(      m1s13_ack       ),
5352
                .m1_err_o(      m1s13_err       ),
5353
                .m1_rty_o(      m1s13_rty       ),
5354
        .m1_cti_i(  m1s13_cti   ),
5355
        .m1_bte_i(  m1s13_bte   ),
5356
                .m2_data_i(     m2s13_data_o    ),
5357
                .m2_data_o(     m2s13_data_i    ),
5358
                .m2_addr_i(     m2s13_addr      ),
5359
                .m2_sel_i(      m2s13_sel       ),
5360
                .m2_we_i(       m2s13_we        ),
5361
                .m2_cyc_i(      m2s13_cyc       ),
5362
                .m2_stb_i(      m2s13_stb       ),
5363
                .m2_ack_o(      m2s13_ack       ),
5364
                .m2_err_o(      m2s13_err       ),
5365
                .m2_rty_o(      m2s13_rty       ),
5366
        .m2_cti_i(  m2s13_cti   ),
5367
        .m2_bte_i(  m2s13_bte   ),
5368
                .m3_data_i(     m3s13_data_o    ),
5369
                .m3_data_o(     m3s13_data_i    ),
5370
                .m3_addr_i(     m3s13_addr      ),
5371
                .m3_sel_i(      m3s13_sel       ),
5372
                .m3_we_i(       m3s13_we        ),
5373
                .m3_cyc_i(      m3s13_cyc       ),
5374
                .m3_stb_i(      m3s13_stb       ),
5375
                .m3_ack_o(      m3s13_ack       ),
5376
                .m3_err_o(      m3s13_err       ),
5377
                .m3_rty_o(      m3s13_rty       ),
5378
        .m3_cti_i(  m3s13_cti   ),
5379
        .m3_bte_i(  m3s13_bte   ),
5380
                .m4_data_i(     m4s13_data_o    ),
5381
                .m4_data_o(     m4s13_data_i    ),
5382
                .m4_addr_i(     m4s13_addr      ),
5383
                .m4_sel_i(      m4s13_sel       ),
5384
                .m4_we_i(       m4s13_we        ),
5385
                .m4_cyc_i(      m4s13_cyc       ),
5386
                .m4_stb_i(      m4s13_stb       ),
5387
                .m4_ack_o(      m4s13_ack       ),
5388
                .m4_err_o(      m4s13_err       ),
5389
                .m4_rty_o(      m4s13_rty       ),
5390
        .m4_cti_i(  m4s13_cti   ),
5391
        .m4_bte_i(  m4s13_bte   ),
5392
                .m5_data_i(     m5s13_data_o    ),
5393
                .m5_data_o(     m5s13_data_i    ),
5394
                .m5_addr_i(     m5s13_addr      ),
5395
                .m5_sel_i(      m5s13_sel       ),
5396
                .m5_we_i(       m5s13_we        ),
5397
                .m5_cyc_i(      m5s13_cyc       ),
5398
                .m5_stb_i(      m5s13_stb       ),
5399
                .m5_ack_o(      m5s13_ack       ),
5400
                .m5_err_o(      m5s13_err       ),
5401
                .m5_rty_o(      m5s13_rty       ),
5402
        .m5_cti_i(  m5s13_cti   ),
5403
        .m5_bte_i(  m5s13_bte   ),
5404
                .m6_data_i(     m6s13_data_o    ),
5405
                .m6_data_o(     m6s13_data_i    ),
5406
                .m6_addr_i(     m6s13_addr      ),
5407
                .m6_sel_i(      m6s13_sel       ),
5408
                .m6_we_i(       m6s13_we        ),
5409
                .m6_cyc_i(      m6s13_cyc       ),
5410
                .m6_stb_i(      m6s13_stb       ),
5411
                .m6_ack_o(      m6s13_ack       ),
5412
                .m6_err_o(      m6s13_err       ),
5413
                .m6_rty_o(      m6s13_rty       ),
5414
        .m6_cti_i(  m6s13_cti   ),
5415
        .m6_bte_i(  m6s13_bte   ),
5416
                .m7_data_i(     m7s13_data_o    ),
5417
                .m7_data_o(     m7s13_data_i    ),
5418
                .m7_addr_i(     m7s13_addr      ),
5419
                .m7_sel_i(      m7s13_sel       ),
5420
                .m7_we_i(       m7s13_we        ),
5421
                .m7_cyc_i(      m7s13_cyc       ),
5422
                .m7_stb_i(      m7s13_stb       ),
5423
                .m7_ack_o(      m7s13_ack       ),
5424
                .m7_err_o(      m7s13_err       ),
5425
                .m7_rty_o(      m7s13_rty       ),
5426
        .m7_cti_i(  m7s13_cti   ),
5427
        .m7_bte_i(  m7s13_bte   )
5428
                );
5429
 
5430
wb_conmax_slave_if #(pri_sel14,aw,dw,sw) s14(
5431
                .clk_i(         clk_i           ),
5432
                .rst_i(         rst_i           ),
5433
                .conf(          conf14          ),
5434
                .wb_data_i(     s14_data_i      ),
5435
                .wb_data_o(     s14_data_o      ),
5436
                .wb_addr_o(     s14_addr_o      ),
5437
                .wb_sel_o(      s14_sel_o       ),
5438
                .wb_we_o(       s14_we_o        ),
5439
                .wb_cyc_o(      s14_cyc_o       ),
5440
                .wb_stb_o(      s14_stb_o       ),
5441
                .wb_ack_i(      s14_ack_i       ),
5442
                .wb_err_i(      s14_err_i       ),
5443
                .wb_rty_i(      s14_rty_i       ),
5444
        .wb_cti_o(  s14_cti_o   ),
5445
        .wb_bte_o(  s14_bte_o   ),
5446
                .m0_data_i(     m0s14_data_o    ),
5447
                .m0_data_o(     m0s14_data_i    ),
5448
                .m0_addr_i(     m0s14_addr      ),
5449
                .m0_sel_i(      m0s14_sel       ),
5450
                .m0_we_i(       m0s14_we        ),
5451
                .m0_cyc_i(      m0s14_cyc       ),
5452
                .m0_stb_i(      m0s14_stb       ),
5453
                .m0_ack_o(      m0s14_ack       ),
5454
                .m0_err_o(      m0s14_err       ),
5455
                .m0_rty_o(      m0s14_rty       ),
5456
        .m0_cti_i(  m0s14_cti   ),
5457
        .m0_bte_i(  m0s14_bte   ),
5458
                .m1_data_i(     m1s14_data_o    ),
5459
                .m1_data_o(     m1s14_data_i    ),
5460
                .m1_addr_i(     m1s14_addr      ),
5461
                .m1_sel_i(      m1s14_sel       ),
5462
                .m1_we_i(       m1s14_we        ),
5463
                .m1_cyc_i(      m1s14_cyc       ),
5464
                .m1_stb_i(      m1s14_stb       ),
5465
                .m1_ack_o(      m1s14_ack       ),
5466
                .m1_err_o(      m1s14_err       ),
5467
                .m1_rty_o(      m1s14_rty       ),
5468
        .m1_cti_i(  m1s14_cti   ),
5469
        .m1_bte_i(  m1s14_bte   ),
5470
                .m2_data_i(     m2s14_data_o    ),
5471
                .m2_data_o(     m2s14_data_i    ),
5472
                .m2_addr_i(     m2s14_addr      ),
5473
                .m2_sel_i(      m2s14_sel       ),
5474
                .m2_we_i(       m2s14_we        ),
5475
                .m2_cyc_i(      m2s14_cyc       ),
5476
                .m2_stb_i(      m2s14_stb       ),
5477
                .m2_ack_o(      m2s14_ack       ),
5478
                .m2_err_o(      m2s14_err       ),
5479
                .m2_rty_o(      m2s14_rty       ),
5480
        .m2_cti_i(  m2s14_cti   ),
5481
        .m2_bte_i(  m2s14_bte   ),
5482
                .m3_data_i(     m3s14_data_o    ),
5483
                .m3_data_o(     m3s14_data_i    ),
5484
                .m3_addr_i(     m3s14_addr      ),
5485
                .m3_sel_i(      m3s14_sel       ),
5486
                .m3_we_i(       m3s14_we        ),
5487
                .m3_cyc_i(      m3s14_cyc       ),
5488
                .m3_stb_i(      m3s14_stb       ),
5489
                .m3_ack_o(      m3s14_ack       ),
5490
                .m3_err_o(      m3s14_err       ),
5491
                .m3_rty_o(      m3s14_rty       ),
5492
        .m3_cti_i(  m3s14_cti   ),
5493
        .m3_bte_i(  m3s14_bte   ),
5494
                .m4_data_i(     m4s14_data_o    ),
5495
                .m4_data_o(     m4s14_data_i    ),
5496
                .m4_addr_i(     m4s14_addr      ),
5497
                .m4_sel_i(      m4s14_sel       ),
5498
                .m4_we_i(       m4s14_we        ),
5499
                .m4_cyc_i(      m4s14_cyc       ),
5500
                .m4_stb_i(      m4s14_stb       ),
5501
                .m4_ack_o(      m4s14_ack       ),
5502
                .m4_err_o(      m4s14_err       ),
5503
                .m4_rty_o(      m4s14_rty       ),
5504
        .m4_cti_i(  m4s14_cti   ),
5505
        .m4_bte_i(  m4s14_bte   ),
5506
                .m5_data_i(     m5s14_data_o    ),
5507
                .m5_data_o(     m5s14_data_i    ),
5508
                .m5_addr_i(     m5s14_addr      ),
5509
                .m5_sel_i(      m5s14_sel       ),
5510
                .m5_we_i(       m5s14_we        ),
5511
                .m5_cyc_i(      m5s14_cyc       ),
5512
                .m5_stb_i(      m5s14_stb       ),
5513
                .m5_ack_o(      m5s14_ack       ),
5514
                .m5_err_o(      m5s14_err       ),
5515
                .m5_rty_o(      m5s14_rty       ),
5516
        .m5_cti_i(  m5s14_cti   ),
5517
        .m5_bte_i(  m5s14_bte   ),
5518
                .m6_data_i(     m6s14_data_o    ),
5519
                .m6_data_o(     m6s14_data_i    ),
5520
                .m6_addr_i(     m6s14_addr      ),
5521
                .m6_sel_i(      m6s14_sel       ),
5522
                .m6_we_i(       m6s14_we        ),
5523
                .m6_cyc_i(      m6s14_cyc       ),
5524
                .m6_stb_i(      m6s14_stb       ),
5525
                .m6_ack_o(      m6s14_ack       ),
5526
                .m6_err_o(      m6s14_err       ),
5527
                .m6_rty_o(      m6s14_rty       ),
5528
        .m6_cti_i(  m6s14_cti   ),
5529
        .m6_bte_i(  m6s14_bte   ),
5530
                .m7_data_i(     m7s14_data_o    ),
5531
                .m7_data_o(     m7s14_data_i    ),
5532
                .m7_addr_i(     m7s14_addr      ),
5533
                .m7_sel_i(      m7s14_sel       ),
5534
                .m7_we_i(       m7s14_we        ),
5535
                .m7_cyc_i(      m7s14_cyc       ),
5536
                .m7_stb_i(      m7s14_stb       ),
5537
                .m7_ack_o(      m7s14_ack       ),
5538
                .m7_err_o(      m7s14_err       ),
5539
                .m7_rty_o(      m7s14_rty       ),
5540
        .m7_cti_i(  m7s14_cti   ),
5541
        .m7_bte_i(  m7s14_bte   )
5542
                );
5543
 
5544
wb_conmax_slave_if #(pri_sel15,aw,dw,sw) s15(
5545
                .clk_i(         clk_i           ),
5546
                .rst_i(         rst_i           ),
5547
                .conf(          conf15          ),
5548
                .wb_data_i(     i_s15_data_i    ),
5549
                .wb_data_o(     i_s15_data_o    ),
5550
                .wb_addr_o(     i_s15_addr_o    ),
5551
                .wb_sel_o(      i_s15_sel_o     ),
5552
                .wb_we_o(       i_s15_we_o      ),
5553
                .wb_cyc_o(      i_s15_cyc_o     ),
5554
                .wb_stb_o(      i_s15_stb_o     ),
5555
                .wb_ack_i(      i_s15_ack_i     ),
5556
                .wb_err_i(      i_s15_err_i     ),
5557
                .wb_rty_i(      i_s15_rty_i     ),
5558
        .wb_cti_o(  i_s15_cti_o ),
5559
        .wb_bte_o(  i_s15_bte_o ),
5560
                .m0_data_i(     m0s15_data_o    ),
5561
                .m0_data_o(     m0s15_data_i    ),
5562
                .m0_addr_i(     m0s15_addr      ),
5563
                .m0_sel_i(      m0s15_sel       ),
5564
                .m0_we_i(       m0s15_we        ),
5565
                .m0_cyc_i(      m0s15_cyc       ),
5566
                .m0_stb_i(      m0s15_stb       ),
5567
                .m0_ack_o(      m0s15_ack       ),
5568
                .m0_err_o(      m0s15_err       ),
5569
                .m0_rty_o(      m0s15_rty       ),
5570
        .m0_cti_i(  m0s15_cti   ),
5571
        .m0_bte_i(  m0s15_bte   ),
5572
                .m1_data_i(     m1s15_data_o    ),
5573
                .m1_data_o(     m1s15_data_i    ),
5574
                .m1_addr_i(     m1s15_addr      ),
5575
                .m1_sel_i(      m1s15_sel       ),
5576
                .m1_we_i(       m1s15_we        ),
5577
                .m1_cyc_i(      m1s15_cyc       ),
5578
                .m1_stb_i(      m1s15_stb       ),
5579
                .m1_ack_o(      m1s15_ack       ),
5580
                .m1_err_o(      m1s15_err       ),
5581
                .m1_rty_o(      m1s15_rty       ),
5582
        .m1_cti_i(  m1s15_cti   ),
5583
        .m1_bte_i(  m1s15_bte   ),
5584
                .m2_data_i(     m2s15_data_o    ),
5585
                .m2_data_o(     m2s15_data_i    ),
5586
                .m2_addr_i(     m2s15_addr      ),
5587
                .m2_sel_i(      m2s15_sel       ),
5588
                .m2_we_i(       m2s15_we        ),
5589
                .m2_cyc_i(      m2s15_cyc       ),
5590
                .m2_stb_i(      m2s15_stb       ),
5591
                .m2_ack_o(      m2s15_ack       ),
5592
                .m2_err_o(      m2s15_err       ),
5593
                .m2_rty_o(      m2s15_rty       ),
5594
        .m2_cti_i(  m2s15_cti   ),
5595
        .m2_bte_i(  m2s15_bte   ),
5596
                .m3_data_i(     m3s15_data_o    ),
5597
                .m3_data_o(     m3s15_data_i    ),
5598
                .m3_addr_i(     m3s15_addr      ),
5599
                .m3_sel_i(      m3s15_sel       ),
5600
                .m3_we_i(       m3s15_we        ),
5601
                .m3_cyc_i(      m3s15_cyc       ),
5602
                .m3_stb_i(      m3s15_stb       ),
5603
                .m3_ack_o(      m3s15_ack       ),
5604
                .m3_err_o(      m3s15_err       ),
5605
                .m3_rty_o(      m3s15_rty       ),
5606
        .m3_cti_i(  m3s15_cti   ),
5607
        .m3_bte_i(  m3s15_bte   ),
5608
                .m4_data_i(     m4s15_data_o    ),
5609
                .m4_data_o(     m4s15_data_i    ),
5610
                .m4_addr_i(     m4s15_addr      ),
5611
                .m4_sel_i(      m4s15_sel       ),
5612
                .m4_we_i(       m4s15_we        ),
5613
                .m4_cyc_i(      m4s15_cyc       ),
5614
                .m4_stb_i(      m4s15_stb       ),
5615
                .m4_ack_o(      m4s15_ack       ),
5616
                .m4_err_o(      m4s15_err       ),
5617
                .m4_rty_o(      m4s15_rty       ),
5618
        .m4_cti_i(  m4s15_cti   ),
5619
        .m4_bte_i(  m4s15_bte   ),
5620
                .m5_data_i(     m5s15_data_o    ),
5621
                .m5_data_o(     m5s15_data_i    ),
5622
                .m5_addr_i(     m5s15_addr      ),
5623
                .m5_sel_i(      m5s15_sel       ),
5624
                .m5_we_i(       m5s15_we        ),
5625
                .m5_cyc_i(      m5s15_cyc       ),
5626
                .m5_stb_i(      m5s15_stb       ),
5627
                .m5_ack_o(      m5s15_ack       ),
5628
                .m5_err_o(      m5s15_err       ),
5629
                .m5_rty_o(      m5s15_rty       ),
5630
        .m5_cti_i(  m5s15_cti   ),
5631
        .m5_bte_i(  m5s15_bte   ),
5632
                .m6_data_i(     m6s15_data_o    ),
5633
                .m6_data_o(     m6s15_data_i    ),
5634
                .m6_addr_i(     m6s15_addr      ),
5635
                .m6_sel_i(      m6s15_sel       ),
5636
                .m6_we_i(       m6s15_we        ),
5637
                .m6_cyc_i(      m6s15_cyc       ),
5638
                .m6_stb_i(      m6s15_stb       ),
5639
                .m6_ack_o(      m6s15_ack       ),
5640
                .m6_err_o(      m6s15_err       ),
5641
                .m6_rty_o(      m6s15_rty       ),
5642
        .m6_cti_i(  m6s15_cti   ),
5643
        .m6_bte_i(  m6s15_bte   ),
5644
                .m7_data_i(     m7s15_data_o    ),
5645
                .m7_data_o(     m7s15_data_i    ),
5646
                .m7_addr_i(     m7s15_addr      ),
5647
                .m7_sel_i(      m7s15_sel       ),
5648
                .m7_we_i(       m7s15_we        ),
5649
                .m7_cyc_i(      m7s15_cyc       ),
5650
                .m7_stb_i(      m7s15_stb       ),
5651
                .m7_ack_o(      m7s15_ack       ),
5652
                .m7_err_o(      m7s15_err       ),
5653
                .m7_rty_o(      m7s15_rty       ),
5654
        .m7_cti_i(  m7s15_cti   ),
5655
        .m7_bte_i(  m7s15_bte   )
5656
                );
5657
 
5658
wb_conmax_rf #(rf_addr,dw,aw,sw) rf(
5659
                .clk_i(         clk_i           ),
5660
                .rst_i(         rst_i           ),
5661
                .i_wb_data_i(   i_s15_data_o    ),
5662
                .i_wb_data_o(   i_s15_data_i    ),
5663
                .i_wb_addr_i(   i_s15_addr_o    ),
5664
                .i_wb_sel_i(    i_s15_sel_o     ),
5665
                .i_wb_we_i(     i_s15_we_o      ),
5666
                .i_wb_cyc_i(    i_s15_cyc_o     ),
5667
                .i_wb_stb_i(    i_s15_stb_o     ),
5668
                .i_wb_ack_o(    i_s15_ack_i     ),
5669
                .i_wb_err_o(    i_s15_err_i     ),
5670
                .i_wb_rty_o(    i_s15_rty_i     ),
5671
        .i_wb_cti_o(    i_s15_cti_o ),
5672
        .i_wb_bte_o(    i_s15_bte_o ),
5673
 
5674
                .e_wb_data_i(   s15_data_i      ),
5675
                .e_wb_data_o(   s15_data_o      ),
5676
                .e_wb_addr_o(   s15_addr_o      ),
5677
                .e_wb_sel_o(    s15_sel_o       ),
5678
                .e_wb_we_o(     s15_we_o        ),
5679
                .e_wb_cyc_o(    s15_cyc_o       ),
5680
                .e_wb_stb_o(    s15_stb_o       ),
5681
                .e_wb_ack_i(    s15_ack_i       ),
5682
                .e_wb_err_i(    s15_err_i       ),
5683
                .e_wb_rty_i(    s15_rty_i       ),
5684
        .e_wb_cti_o(    s15_cti_o   ),
5685
        .e_wb_bte_o(    s15_bte_o   ),
5686
 
5687
                .conf0(         conf0           ),
5688
                .conf1(         conf1           ),
5689
                .conf2(         conf2           ),
5690
                .conf3(         conf3           ),
5691
                .conf4(         conf4           ),
5692
                .conf5(         conf5           ),
5693
                .conf6(         conf6           ),
5694
                .conf7(         conf7           ),
5695
                .conf8(         conf8           ),
5696
                .conf9(         conf9           ),
5697
                .conf10(        conf10          ),
5698
                .conf11(        conf11          ),
5699
                .conf12(        conf12          ),
5700
                .conf13(        conf13          ),
5701
                .conf14(        conf14          ),
5702
                .conf15(        conf15          )
5703
                );
5704
endmodule
5705
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.