OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [wishbone/] [testbecnh/] [dev_test_check/] [sim/] [tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
//////////////////////////////////////////////////////////////////////////////////
2
// Company:         ;)
3
// Engineer:        Kuzmi4
4
// 
5
// Create Date:     14:39:52 05/19/2010 
6
// Design Name:     
7
// Module Name:     tb/block_test_check_wb
8
// Project Name:    DS_DMA
9
// Target Devices:  no
10
// Tool versions:   any with SV support
11
// Description: 
12
//                  
13
//                  Simple TB, waveform oriented ;)
14
//
15
// Revision: 
16
// Revision 0.01 - File Created
17
//
18
//////////////////////////////////////////////////////////////////////////////////
19
`timescale 1ns / 1ps
20
 
21
`include "ds_dma_test_check_burst_master_if.v"
22
 
23
module tb;
24
//////////////////////////////////////////////////////////////////////////////////
25
//
26
parameter   p_Tclk  =   10ns;
27
parameter   p_Trst  =   120ns;
28
//////////////////////////////////////////////////////////////////////////////////
29
    // Declare SYS_CON stuff:
30
    reg     s_sys_clk;
31
    reg     s_sys_rst;
32
    // Declare 
33
    logic   [63:0]  sv_ds_dma_outgoing_data_0;
34
 
35
//////////////////////////////////////////////////////////////////////////////////
36
// 
37
// Instantiate TEST IF:
38
//
39
ds_dma_test_check_burst_master_if       DS_DMA_WBM_IF(s_sys_clk);
40
 
41
//////////////////////////////////////////////////////////////////////////////////
42
//
43
// System Clock:
44
//
45
always
46
begin   :   SYS_CLK
47
    #(p_Tclk/2) s_sys_clk <= !s_sys_clk;
48
end
49
//////////////////////////////////////////////////////////////////////////////////
50
//
51
// Local Initial PowerOnReset:
52
//
53
initial
54
begin   :   init_POR
55
    //
56
    $timeformat(-9, 3, " ns", 10);
57
    //
58
    s_sys_clk   <= 0;
59
    s_sys_rst   <= 0;
60
    sv_ds_dma_outgoing_data_0   =   $random();
61
 
62
    DS_DMA_WBM_IF.init();
63
 
64
    // PowerOnReset case
65
    s_sys_rst   <= 1; #p_Trst;
66
    s_sys_rst   <= 0;
67
 
68
end
69
//////////////////////////////////////////////////////////////////////////////////
70
//
71
// Test:
72
//
73
initial
74
begin   :   TB
75
    // 
76
    do @(posedge s_sys_clk);
77
    while (s_sys_rst); #1us;
78
    //
79
    DS_DMA_WBM_IF.write_512_word(sv_ds_dma_outgoing_data_0, 0); #100ns;
80
    DS_DMA_WBM_IF.write_512_word(sv_ds_dma_outgoing_data_0, 0); #100ns;
81
    // 
82
    #6us;
83
    $finish(2);
84
end
85
//////////////////////////////////////////////////////////////////////////////////
86
//
87
// Instantiate DUT:
88
//
89
block_test_check_wb DUT
90
(
91
//
92
// SYS_CON
93
.i_clk  (s_sys_clk),
94
.i_rst  (s_sys_rst),
95
//
96
// WB CFG SLAVE IF
97
.iv_wbs_cfg_addr     (8'b0),
98
.iv_wbs_cfg_data     (64'b0),
99
.iv_wbs_cfg_sel      (8'b0),
100
.i_wbs_cfg_we        (1'b0),
101
.i_wbs_cfg_cyc       (1'b0),
102
.i_wbs_cfg_stb       (1'b0),
103
.iv_wbs_cfg_cti      (3'b0),
104
.iv_wbs_cfg_bte      (2'b0),
105
 
106
.ov_wbs_cfg_data     (),
107
.o_wbs_cfg_ack       (),
108
.o_wbs_cfg_err       (),
109
.o_wbs_cfg_rty       (),
110
//
111
// WB BURST SLAVE IF (READ-ONLY IF)
112
.iv_wbs_burst_addr   (DS_DMA_WBM_IF.ov_wbs_burst_addr),
113
.iv_wbs_burst_data   (DS_DMA_WBM_IF.ov_wbs_burst_data),
114
.iv_wbs_burst_sel    (DS_DMA_WBM_IF.ov_wbs_burst_sel),
115
.i_wbs_burst_we      (DS_DMA_WBM_IF.o_wbs_burst_we),
116
.i_wbs_burst_cyc     (DS_DMA_WBM_IF.o_wbs_burst_cyc),
117
.i_wbs_burst_stb     (DS_DMA_WBM_IF.o_wbs_burst_stb),
118
.iv_wbs_burst_cti    (DS_DMA_WBM_IF.ov_wbs_burst_cti),
119
.iv_wbs_burst_bte    (DS_DMA_WBM_IF.ov_wbs_burst_bte),
120
 
121
.o_wbs_burst_ack     (DS_DMA_WBM_IF.i_wbs_burst_ack),
122
.o_wbs_burst_err     (DS_DMA_WBM_IF.i_wbs_burst_err),
123
.o_wbs_burst_rty     (DS_DMA_WBM_IF.i_wbs_burst_rty),
124
//
125
// WB IRQ lines
126
.o_wbs_irq_0         (),
127
.o_wbs_irq_dmar      ()
128
);
129
//////////////////////////////////////////////////////////////////////////////////
130
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.