OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [wishbone/] [testbecnh/] [dev_test_gen/] [sim/] [wave.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate /tb/DUT/i_clk
4
add wave -noupdate /tb/DUT/i_rst
5
add wave -noupdate -divider {New Divider}
6
add wave -noupdate /tb/DUT/i_wbs_cfg_cyc
7
add wave -noupdate /tb/DUT/i_wbs_cfg_stb
8
add wave -noupdate /tb/DUT/i_wbs_cfg_we
9
add wave -noupdate /tb/DUT/iv_wbs_cfg_addr
10
add wave -noupdate /tb/DUT/iv_wbs_cfg_bte
11
add wave -noupdate /tb/DUT/iv_wbs_cfg_cti
12
add wave -noupdate /tb/DUT/iv_wbs_cfg_data
13
add wave -noupdate /tb/DUT/iv_wbs_cfg_sel
14
add wave -noupdate /tb/DUT/o_wbs_cfg_ack
15
add wave -noupdate /tb/DUT/o_wbs_cfg_err
16
add wave -noupdate /tb/DUT/o_wbs_cfg_rty
17
add wave -noupdate /tb/DUT/ov_wbs_cfg_data
18
add wave -noupdate -divider {New Divider}
19
add wave -noupdate /tb/DUT/i_wbs_burst_cyc
20
add wave -noupdate /tb/DUT/i_wbs_burst_stb
21
add wave -noupdate /tb/DUT/i_wbs_burst_we
22
add wave -noupdate -radix hexadecimal /tb/DUT/iv_wbs_burst_addr
23
add wave -noupdate /tb/DUT/iv_wbs_burst_bte
24
add wave -noupdate /tb/DUT/iv_wbs_burst_cti
25
add wave -noupdate /tb/DUT/iv_wbs_burst_sel
26
add wave -noupdate /tb/DUT/o_wbs_burst_ack
27
add wave -noupdate /tb/DUT/o_wbs_burst_err
28
add wave -noupdate /tb/DUT/o_wbs_burst_rty
29
add wave -noupdate -radix hexadecimal /tb/DUT/ov_wbs_burst_data
30
add wave -noupdate -divider {New Divider}
31
add wave -noupdate -radix hexadecimal /tb/DUT/sv_test_gen_ctrl
32
add wave -noupdate -expand -group TEST_GEN_FIFO /tb/DUT/TEST_GEN_FIFO/clk
33
add wave -noupdate -expand -group TEST_GEN_FIFO /tb/DUT/TEST_GEN_FIFO/wr_en
34
add wave -noupdate -expand -group TEST_GEN_FIFO -radix hexadecimal /tb/DUT/TEST_GEN_FIFO/din
35
add wave -noupdate -expand -group TEST_GEN_FIFO /tb/DUT/TEST_GEN_FIFO/rd_en
36
add wave -noupdate -expand -group TEST_GEN_FIFO -radix hexadecimal /tb/DUT/TEST_GEN_FIFO/dout
37
add wave -noupdate -expand -group TEST_GEN_FIFO /tb/DUT/TEST_GEN_FIFO/empty
38
add wave -noupdate -expand -group TEST_GEN_FIFO /tb/DUT/TEST_GEN_FIFO/full
39
add wave -noupdate -expand -group TEST_GEN_FIFO /tb/DUT/TEST_GEN_FIFO/prog_full
40
add wave -noupdate -expand -group TEST_GEN_FIFO /tb/DUT/TEST_GEN_FIFO/rst
41
add wave -noupdate -divider {New Divider}
42
add wave -noupdate /tb/DUT/WB_BURST_SLAVE/s_wb_transfer_ok_0
43
add wave -noupdate -radix unsigned /tb/DUT/WB_BURST_SLAVE/sv_wbs_burst_counter
44
add wave -noupdate -format Literal /tb/DUT/WB_BURST_SLAVE/sv_wbs_fsm
45
add wave -noupdate -divider {New Divider}
46
add wave -noupdate -radix unsigned /tb/DUT/sv_test_gen_bl_wr
47
add wave -noupdate -radix hexadecimal /tb/DUT/sv_test_gen_ctrl
48
add wave -noupdate -radix unsigned /tb/DUT/sv_test_gen_size
49
TreeUpdate [SetDefaultTree]
50
WaveRestoreCursors {{Cursor 1} {18116000 ps} 0}
51
configure wave -namecolwidth 281
52
configure wave -valuecolwidth 163
53
configure wave -justifyvalue left
54
configure wave -signalnamewidth 0
55
configure wave -snapdistance 10
56
configure wave -datasetprefix 0
57
configure wave -rowmargin 4
58
configure wave -childrowmargin 2
59
configure wave -gridoffset 0
60
configure wave -gridperiod 1
61
configure wave -griddelta 40
62
configure wave -timeline 0
63
configure wave -timelineunits ps
64
update
65
WaveRestoreZoom {8047752 ps} {39322248 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.