OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [ac701_a200t_core/] [src/] [testbench/] [ahdl/] [log_example/] [console_id_5_test_block_main.log] - Blame information for rev 51

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 51 dsmv
asim -noglitch -noglitchmsg +notimingchecks +no_tchk_msg -relax glbl -ieee_nowarn -O5 -L secureip -g test_id=5 +access +r +m+test_block_main stend_ac701_core stend_ac701_core
2
# ELBREAD: Elaboration process.
3
# ELBREAD: You do not have a valid license to run simulation with SLP.
4
# Contact Aldec for ordering information - sales@aldec.com.
5
# ELBREAD: Elaboration time 4.3 [s].
6
# asim: Stack memory: 128MB
7
# asim: Retval memory: 128MB
8
# KERNEL: Main thread initiated.
9
# KERNEL: Kernel process initialization phase.
10
# KERNEL: Time resolution set to 1ps.
11
# ELAB2: Elaboration final pass...
12
# ELAB2: Create instances ...
13
# ELBREAD: Warning: Too few port connections. Region: protected hierarchy
14
# EXECUTION:: NOTE   : returned a simple delay of 1
15
# EXECUTION:: NOTE   : returned a simple delay of 1
16
# EXECUTION:: NOTE   : returned a simple delay of 1
17
# ELAB2: Create instances complete.
18
# SLP: Started
19
# SLP: Elaboration phase ...
20
# SLP: Elaboration phase ... skipped, nothing to simulate in SLP mode : 0.0 [s]
21
# SLP: Finished : 0.0 [s]
22
# ELAB2: Elaboration final pass complete - time: 14.7 [s].
23
# KERNEL: Kernel process initialization done.
24
# Allocation: Simulator allocated 418007 kB (elbread=101867 elab2=281690 kernel=34450 sdf=0)
25
#  22:16, 12 сентября 2015 г.
26
#  Simulation has been initialized
27
#  Selected Top-Level: stend_ac701_core (stend_ac701_core) glbl
28
run {500 us}
29
# EXECUTION:: NOTE   : Warning in %m at time %t: When using an asynchronous configuration for the FIFO Generator, the behavioral model is not cycle-accurate. You may wish to choose the structural simulation model instead of the behavioral model. This will ensure accurate behavior and latencies during simulation. You can enable this from CORE Generator by selecting Project -> Project Options -> Generation tab -> Structural Simulation. See the FIFO Generator User Guide for more information.
30
# EXECUTION:: Time: 0 ps,  Iteration: 0,  Instance: /stend_ac701_core/amb/dio_in/x_fifo/ctrl_fifo/U0,  Process: line__3206.
31
# EXECUTION:: NOTE   : Warning in %m at time %t: When using an asynchronous configuration for the FIFO Generator, the behavioral model is not cycle-accurate. You may wish to choose the structural simulation model instead of the behavioral model. This will ensure accurate behavior and latencies during simulation. You can enable this from CORE Generator by selecting Project -> Project Options -> Generation tab -> Structural Simulation. See the FIFO Generator User Guide for more information.
32
# EXECUTION:: Time: 0 ps,  Iteration: 0,  Instance: /stend_ac701_core/amb/dio_out/x_fifo/ctrl_fifo/U0,  Process: line__3206.
33
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00U0 with CARRYINSEL  00 to DSP48 instance is invalid.
34
# EXECUTION:: Time: 109393 ps,  Iteration: 15,  Instance: /stend_ac701_core/amb/amb/gen_syn/pcie/gen_m10/core/fifo/dsc/gen_dsp48/dsp,  Process: prcs_opmode_drc.
35
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL  00 to DSP48 instance is invalid.
36
# EXECUTION:: Time: 109393 ps,  Iteration: 15,  Instance: /stend_ac701_core/amb/amb/gen_syn/pcie/gen_m10/core/fifo/cmd/dma_adr/gen_dsp48/dsp1,  Process: prcs_opmode_drc.
37
# EXECUTION:: WARNING: OPMODE Input Warning : The OPMODE 0UU00UU with CARRYINSEL  00 to DSP48 instance is invalid.
38
# EXECUTION:: Time: 109393 ps,  Iteration: 15,  Instance: /stend_ac701_core/amb/amb/gen_syn/pcie/gen_m10/core/fifo/cmd/dma_adr/gen_dsp48/dsp0,  Process: prcs_opmode_drc.
39
# KERNEL: [ 1000 ns ] : Init start
40
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
41
# KERNEL: [ 145411.246 ns ] : Transaction Link is Up
42
# KERNEL: [ 145411.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
43
# KERNEL:             BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
44
# KERNEL:             BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
45
# KERNEL:             BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
46
# KERNEL:             BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
47
# KERNEL:             BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
48
# KERNEL:             BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
49
# KERNEL:             BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
50
# KERNEL: [ 145411.246 ns ] : Setting Core Configuration Space...
51
# KERNEL: [ 193459.146 ns ] : PROC_PARSE_FRAME on Transmit
52
# KERNEL: [ 195107.146 ns ] : PROC_PARSE_FRAME on Transmit
53
# KERNEL: [ 195987.146 ns ] : PROC_PARSE_FRAME on Receive
54
# KERNEL: [ 196755.146 ns ] : PROC_PARSE_FRAME on Transmit
55
# KERNEL: [ 197651.146 ns ] : PROC_PARSE_FRAME on Receive
56
# KERNEL: [ 198403.146 ns ] : PROC_PARSE_FRAME on Transmit
57
# KERNEL: [ 199283.146 ns ] : PROC_PARSE_FRAME on Receive
58
# KERNEL: [ 200051.146 ns ] : PROC_PARSE_FRAME on Transmit
59
# KERNEL: [ 201075.146 ns ] : PROC_PARSE_FRAME on Receive
60
# KERNEL: [ 201699.146 ns ] : PROC_PARSE_FRAME on Transmit
61
# KERNEL: [ 202579.146 ns ] : PROC_PARSE_FRAME on Receive
62
# KERNEL: [ 203347.146 ns ] : PROC_PARSE_FRAME on Transmit
63
# KERNEL: [ 204243.146 ns ] : PROC_PARSE_FRAME on Receive
64
# KERNEL: [ 204995.146 ns ] : PROC_PARSE_FRAME on Transmit
65
# KERNEL: [ 205875.146 ns ] : PROC_PARSE_FRAME on Receive
66
# KERNEL: [ 206643.146 ns ] : PROC_PARSE_FRAME on Transmit
67
# KERNEL: [ 207539.146 ns ] : PROC_PARSE_FRAME on Receive
68
# KERNEL: [ 209171.146 ns ] : PROC_PARSE_FRAME on Receive
69
# KERNEL: [ 227443.146 ns ] : BUS Master Enable
70
# KERNEL: [ 227443.146 ns ] : Reading Config space
71
# KERNEL:   Addr: [0x001]
72
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100000]
73
# KERNEL: [ 227603.146 ns ] : Writing Config space
74
# KERNEL:   Addr: [0x001] -> Data [0x00000007]
75
# KERNEL: [ 227763.146 ns ] : Reading Config space
76
# KERNEL:   Addr: [0x001]
77
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100007]
78
# KERNEL: [ 232891.146 ns ] : Init complete
79
# KERNEL: [ 250035.146 ns ] : PROC_PARSE_FRAME on Transmit
80
# KERNEL: [ 250099.146 ns ] : PROC_PARSE_FRAME on Transmit
81
# KERNEL: [ 252691.146 ns ] : PROC_PARSE_FRAME on Receive
82
# KERNEL: [ 262803.146 ns ] : PROC_PARSE_FRAME on Transmit
83
# KERNEL: [ 265363.146 ns ] : PROC_PARSE_FRAME on Receive
84
# KERNEL: [ 265475.146 ns ] : PROC_PARSE_FRAME on Transmit
85
# KERNEL: [ 268051.146 ns ] : PROC_PARSE_FRAME on Receive
86
# KERNEL: [ 268163.146 ns ] : PROC_PARSE_FRAME on Transmit
87
# KERNEL: [ 270739.146 ns ] : PROC_PARSE_FRAME on Receive
88
# KERNEL: [ 270851.146 ns ] : PROC_PARSE_FRAME on Transmit
89
# KERNEL: [ 273427.146 ns ] : PROC_PARSE_FRAME on Receive
90
# KERNEL: [ 273539.146 ns ] : PROC_PARSE_FRAME on Transmit
91
# KERNEL: [ 276115.146 ns ] : PROC_PARSE_FRAME on Receive
92
# KERNEL: [ 276227.146 ns ] : PROC_PARSE_FRAME on Transmit
93
# KERNEL: [ 278803.146 ns ] : PROC_PARSE_FRAME on Receive
94
# KERNEL: [ 278915.146 ns ] : PROC_PARSE_FRAME on Transmit
95
# KERNEL: [ 281491.146 ns ] : PROC_PARSE_FRAME on Receive
96
# KERNEL: [ 281603.146 ns ] : PROC_PARSE_FRAME on Transmit
97
# KERNEL: [ 284179.146 ns ] : PROC_PARSE_FRAME on Receive
98
# KERNEL: [ 284291.146 ns ] : PROC_PARSE_FRAME on Transmit
99
# KERNEL: [ 286867.146 ns ] : PROC_PARSE_FRAME on Receive
100
# KERNEL: [ 286979.146 ns ] : PROC_PARSE_FRAME on Transmit
101
# KERNEL: [ 289555.146 ns ] : PROC_PARSE_FRAME on Receive
102
# KERNEL: [ 289667.146 ns ] : PROC_PARSE_FRAME on Transmit
103
# KERNEL: [ 292243.146 ns ] : PROC_PARSE_FRAME on Receive
104
# KERNEL: [ 292355.146 ns ] : PROC_PARSE_FRAME on Transmit
105
# KERNEL: [ 294931.146 ns ] : PROC_PARSE_FRAME on Receive
106
# KERNEL: [ 295043.146 ns ] : PROC_PARSE_FRAME on Transmit
107
# KERNEL: [ 297619.146 ns ] : PROC_PARSE_FRAME on Receive
108
# KERNEL: [ 297731.146 ns ] : PROC_PARSE_FRAME on Transmit
109
# KERNEL: [ 300307.146 ns ] : PROC_PARSE_FRAME on Receive
110
# KERNEL: [ 300419.146 ns ] : PROC_PARSE_FRAME on Transmit
111
# KERNEL: [ 302995.146 ns ] : PROC_PARSE_FRAME on Receive
112
# KERNEL: [ 303107.146 ns ] : PROC_PARSE_FRAME on Transmit
113
# KERNEL: [ 305683.146 ns ] : PROC_PARSE_FRAME on Receive
114
# KERNEL: [ 305795.146 ns ] : PROC_PARSE_FRAME on Transmit
115
# KERNEL: [ 308371.146 ns ] : PROC_PARSE_FRAME on Receive
116
# KERNEL: [ 308483.146 ns ] : PROC_PARSE_FRAME on Transmit
117
# KERNEL: [ 311059.146 ns ] : PROC_PARSE_FRAME on Receive
118
# KERNEL: [ 311171.146 ns ] : PROC_PARSE_FRAME on Transmit
119
# KERNEL: [ 313747.146 ns ] : PROC_PARSE_FRAME on Receive
120
# KERNEL: [ 313859.146 ns ] : PROC_PARSE_FRAME on Transmit
121
# KERNEL: [ 316435.146 ns ] : PROC_PARSE_FRAME on Receive
122
# KERNEL: [ 316547.146 ns ] : PROC_PARSE_FRAME on Transmit
123
# KERNEL: [ 319123.146 ns ] : PROC_PARSE_FRAME on Receive
124
# KERNEL: [ 319235.146 ns ] : PROC_PARSE_FRAME on Transmit
125
# KERNEL: [ 321811.146 ns ] : PROC_PARSE_FRAME on Receive
126
# KERNEL: [ 321923.146 ns ] : PROC_PARSE_FRAME on Transmit
127
# KERNEL: [ 324499.146 ns ] : PROC_PARSE_FRAME on Receive
128
# KERNEL: [ 324611.146 ns ] : PROC_PARSE_FRAME on Transmit
129
# KERNEL: [ 327187.146 ns ] : PROC_PARSE_FRAME on Receive
130
# KERNEL: [ 327299.146 ns ] : PROC_PARSE_FRAME on Transmit
131
# KERNEL: [ 329875.146 ns ] : PROC_PARSE_FRAME on Receive
132
# KERNEL: [ 329987.146 ns ] : PROC_PARSE_FRAME on Transmit
133
# KERNEL: [ 332563.146 ns ] : PROC_PARSE_FRAME on Receive
134
# KERNEL: [ 332675.146 ns ] : PROC_PARSE_FRAME on Transmit
135
# KERNEL: [ 335251.146 ns ] : PROC_PARSE_FRAME on Receive
136
# KERNEL: [ 335363.146 ns ] : PROC_PARSE_FRAME on Transmit
137
# KERNEL: [ 337939.146 ns ] : PROC_PARSE_FRAME on Receive
138
# KERNEL: [ 338051.146 ns ] : PROC_PARSE_FRAME on Transmit
139
# KERNEL: [ 340627.146 ns ] : PROC_PARSE_FRAME on Receive
140
# KERNEL: [ 340739.146 ns ] : PROC_PARSE_FRAME on Transmit
141
# KERNEL: [ 343315.146 ns ] : PROC_PARSE_FRAME on Receive
142
# KERNEL: [ 343427.146 ns ] : PROC_PARSE_FRAME on Transmit
143
# KERNEL: [ 346003.146 ns ] : PROC_PARSE_FRAME on Receive
144
# KERNEL: [ 346115.146 ns ] : PROC_PARSE_FRAME on Transmit
145
# KERNEL: [ 348691.146 ns ] : PROC_PARSE_FRAME on Receive
146
# KERNEL: [ 348803.146 ns ] : PROC_PARSE_FRAME on Transmit
147
# KERNEL: [ 351379.146 ns ] : PROC_PARSE_FRAME on Receive
148
# KERNEL: [ 351491.146 ns ] : PROC_PARSE_FRAME on Transmit
149
# KERNEL: [ 354067.146 ns ] : PROC_PARSE_FRAME on Receive
150
# KERNEL: [ 354179.146 ns ] : PROC_PARSE_FRAME on Transmit
151
# KERNEL: [ 356755.146 ns ] : PROC_PARSE_FRAME on Receive
152
# KERNEL: [ 356867.146 ns ] : PROC_PARSE_FRAME on Transmit
153
# KERNEL: [ 359443.146 ns ] : PROC_PARSE_FRAME on Receive
154
# KERNEL: [ 359555.146 ns ] : PROC_PARSE_FRAME on Transmit
155
# KERNEL: [ 362131.146 ns ] : PROC_PARSE_FRAME on Receive
156
# KERNEL: [ 362243.146 ns ] : PROC_PARSE_FRAME on Transmit
157
# KERNEL: [ 364819.146 ns ] : PROC_PARSE_FRAME on Receive
158
# KERNEL: [ 364931.146 ns ] : PROC_PARSE_FRAME on Transmit
159
# KERNEL: [ 367507.146 ns ] : PROC_PARSE_FRAME on Receive
160
# KERNEL: [ 367619.146 ns ] : PROC_PARSE_FRAME on Transmit
161
# KERNEL: [ 370195.146 ns ] : PROC_PARSE_FRAME on Receive
162
# KERNEL: [ 370307.146 ns ] : PROC_PARSE_FRAME on Transmit
163
# KERNEL: [ 372883.146 ns ] : PROC_PARSE_FRAME on Receive
164
# KERNEL: [ 372995.146 ns ] : PROC_PARSE_FRAME on Transmit
165
# KERNEL: [ 375571.146 ns ] : PROC_PARSE_FRAME on Receive
166
# KERNEL: [ 375683.146 ns ] : PROC_PARSE_FRAME on Transmit
167
# KERNEL: [ 378259.146 ns ] : PROC_PARSE_FRAME on Receive
168
# KERNEL: [ 378371.146 ns ] : PROC_PARSE_FRAME on Transmit
169
# KERNEL: [ 380947.146 ns ] : PROC_PARSE_FRAME on Receive
170
# KERNEL: [ 381059.146 ns ] : PROC_PARSE_FRAME on Transmit
171
# KERNEL: [ 383635.146 ns ] : PROC_PARSE_FRAME on Receive
172
# KERNEL: [ 383747.146 ns ] : PROC_PARSE_FRAME on Transmit
173
# KERNEL: [ 386323.146 ns ] : PROC_PARSE_FRAME on Receive
174
# KERNEL: [ 386435.146 ns ] : PROC_PARSE_FRAME on Transmit
175
# KERNEL: [ 389011.146 ns ] : PROC_PARSE_FRAME on Receive
176
# KERNEL: [ 389123.146 ns ] : PROC_PARSE_FRAME on Transmit
177
# KERNEL: [ 391699.146 ns ] : PROC_PARSE_FRAME on Receive
178
# KERNEL: [ 391811.146 ns ] : PROC_PARSE_FRAME on Transmit
179
# KERNEL: [ 394387.146 ns ] : PROC_PARSE_FRAME on Receive
180
# KERNEL: [ 394499.146 ns ] : PROC_PARSE_FRAME on Transmit
181
# KERNEL: [ 394563.146 ns ] : PROC_PARSE_FRAME on Transmit
182
# KERNEL: [ 397171.146 ns ] : PROC_PARSE_FRAME on Receive
183
# KERNEL: [ 397283.146 ns ] : PROC_PARSE_FRAME on Transmit
184
# KERNEL: [ 397347.146 ns ] : PROC_PARSE_FRAME on Transmit
185
# KERNEL: [ 399955.146 ns ] : PROC_PARSE_FRAME on Receive
186
# KERNEL: [ 400067.146 ns ] : PROC_PARSE_FRAME on Transmit
187
# KERNEL: [ 400131.146 ns ] : PROC_PARSE_FRAME on Transmit
188
# KERNEL: [ 402739.146 ns ] : PROC_PARSE_FRAME on Receive
189
# KERNEL: [ 402851.146 ns ] : PROC_PARSE_FRAME on Transmit
190
# KERNEL: [ 402915.146 ns ] : PROC_PARSE_FRAME on Transmit
191
# KERNEL: [ 405523.146 ns ] : PROC_PARSE_FRAME on Receive
192
# KERNEL: [ 405635.146 ns ] : PROC_PARSE_FRAME on Transmit
193
# KERNEL: [ 405699.146 ns ] : PROC_PARSE_FRAME on Transmit
194
# KERNEL: [ 408307.146 ns ] : PROC_PARSE_FRAME on Receive
195
# KERNEL: [ 408419.146 ns ] : PROC_PARSE_FRAME on Transmit
196
# KERNEL: [ 408483.146 ns ] : PROC_PARSE_FRAME on Transmit
197
# KERNEL: [ 411123.146 ns ] : PROC_PARSE_FRAME on Receive
198
# KERNEL: [ 411235.146 ns ] : PROC_PARSE_FRAME on Transmit
199
# KERNEL: [ 411299.146 ns ] : PROC_PARSE_FRAME on Transmit
200
# KERNEL: [ 413907.146 ns ] : PROC_PARSE_FRAME on Receive
201
# KERNEL: [ 414019.146 ns ] : PROC_PARSE_FRAME on Transmit
202
# KERNEL: [ 414083.146 ns ] : PROC_PARSE_FRAME on Transmit
203
# KERNEL: [ 416691.146 ns ] : PROC_PARSE_FRAME on Receive
204
# KERNEL: [ 416803.146 ns ] : PROC_PARSE_FRAME on Transmit
205
# KERNEL: [ 416867.146 ns ] : PROC_PARSE_FRAME on Transmit
206
# KERNEL: [ 419475.146 ns ] : PROC_PARSE_FRAME on Receive
207
# KERNEL: [ 419587.146 ns ] : PROC_PARSE_FRAME on Transmit
208
# KERNEL: [ 419651.146 ns ] : PROC_PARSE_FRAME on Transmit
209
# KERNEL: [ 422259.146 ns ] : PROC_PARSE_FRAME on Receive
210
# KERNEL:
211
# KERNEL: TEST finished successfully
212
# KERNEL:
213
# EXECUTION:: WARNING: Init END OF TEST
214
# EXECUTION:: Time: 422318146 ps,  Iteration: 0,  Instance: /stend_ac701_core,  Process: pr_main.
215
# EXECUTION:: FAILURE: End of TEST; Ending simulation (not a Failure)
216
# EXECUTION:: Time: 422318146 ps,  Iteration: 0,  Instance: /stend_ac701_core,  Process: pr_main.
217
# KERNEL: stopped at delta: 0 at time 422318146 ps.
218
endsim
219
#  Simulation has been stopped

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.