OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [ml605_lx240t_core/] [src/] [doc/] [adm/] [reg_common.htm] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
<Html>
2
<Head>
3
 <Title>Общие регистры тетрад</Title>
4
 <Meta Http-equiv="Content-Type" Content="text/html; Charset=windows-1251">
5
 <Link Rel="StyleSheet" Type="text/css" Href="style.css">
6
</Head>
7
<Body LeftMargin="5" TopMargin="5" BgColor="#ffffff">
8
 
9
<h1>Общие регистры тетрад</h1>
10
Индекс документа: RG040006&nbsp;&nbsp; Версия документа:&nbsp; 1.3<hr>
11
 
12
 
13
<h2>Состав регистров</h2>
14
<h3><a name="Прямые регистры">Прямые регистры</a></h3>
15
<table border="2" width="90%" bordercolorlight="#000000" bordercolordark="#000000" bordercolor="#000000" cellspacing="0" cellpadding="2" style="border-collapse: collapse">
16
  <tr>
17
    <td width="6%" align="center"><b>Название</b></td>
18
    <td width="9%" align="center"><b>Разрядность</b></td>
19
    <td width="45%"><b>Описание</b></td>
20
  </tr>
21
  <tr>
22
    <td width="6%" align="center"><a href="#Регистры STATUS, IRQ_MASK, IRQ_INV">STATUS</a></td>
23
    <td width="9%" align="center">16</td>
24
    <td width="45%">&nbsp;Регистр состояния</td>
25
  </tr>
26
  <tr>
27
    <td width="6%" align="center"><a href="#Регистр DATA">DATA</a></td>
28
    <td width="9%" align="center">*</td>
29
    <td width="45%">&nbsp;Регистр данных</td>
30
  </tr>
31
  <tr>
32
    <td width="6%" align="center"><a href="#Регистр CMD_ADR">CMD_ADR</a></td>
33
    <td width="9%" align="center">10</td>
34
    <td width="45%">&nbsp;Регистр косвенного адреса</td>
35
  </tr>
36
  <tr>
37
    <td width="6%" align="center"><a href="#Регистр CMD_DATA">CMD_DATA</a></td>
38
    <td width="9%" align="center">16,*</td>
39
    <td width="45%">&nbsp;Регистр косвенных данных</td>
40
  </tr>
41
</table>
42
<br>
43
Адреса и способ доступа к прямым регистрам
44
определяется базовым модулем.<br>
45
Число разрядов регистра DATA определяется
46
конкретной тетрадой.<br>
47
Непосредственные регистры, доступ к
48
которым производится через регистр CMD_DATA,
49
могут иметь число разрядов больше 16.
50
<h3><a name="&nbsp;Командные регистры">&nbsp;Командные регистры</a></h3>
51
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
52
  <tr>
53
    <td width="13%" align="center"><b>Номер</b></td>
54
    <td width="18%" align="center"><b>Название</b></td>
55
    <td width="69%"><b>&nbsp;Описание</b></td>
56
  </tr>
57
  <tr>
58
    <td width="100%" align="center" colspan="3">
59
      <p align="left">&nbsp;&nbsp;&nbsp;&nbsp; Общие регистры</td>
60
  </tr>
61
  <tr>
62
    <td width="13%" align="center">0</td>
63
    <td width="18%" align="center"><a href="#Регистр MODE0">MODE0</a></td>
64
    <td width="69%">&nbsp;Регистр управления</td>
65
  </tr>
66
  <tr>
67
    <td width="13%" align="center">1</td>
68
    <td width="18%" align="center"><a href="#Регистры STATUS, IRQ_MASK, IRQ_INV">IRQ_MASK</a></td>
69
    <td width="69%">&nbsp;Разрешение прерываний</td>
70
  </tr>
71
  <tr>
72
    <td width="13%" align="center">2</td>
73
    <td width="18%" align="center"><a href="#Регистры STATUS, IRQ_MASK, IRQ_INV">IRQ_INV</a></td>
74
    <td width="69%">&nbsp;Инверсия условия прерывания</td>
75
  </tr>
76
  <tr>
77
    <td width="13%" align="center">3</td>
78
    <td width="18%" align="center"><a href="#Регистр FMODE">FMODE</a></td>
79
    <td width="69%">&nbsp;Выбор источника тактовой
80
      частоты</td>
81
  </tr>
82
  <tr>
83
    <td width="13%" align="center">4</td>
84
    <td width="18%" align="center"><a href="#Регистр FDIV">FDIV</a></td>
85
    <td width="69%">&nbsp;Делитель тактовой частоты</td>
86
  </tr>
87
  <tr>
88
    <td width="13%" align="center">5</td>
89
    <td width="18%" align="center"><a href="#Регистр STMODE">STMODE</a></td>
90
    <td width="69%">&nbsp;Регистр синхронизации</td>
91
  </tr>
92
  <tr>
93
    <td width="13%" align="center">6</td>
94
    <td width="18%" align="center"><a href="#Регистр CNT0">CNT0</a></td>
95
    <td width="69%">&nbsp;Счётчик начальной задержки</td>
96
  </tr>
97
  <tr>
98
    <td width="13%" align="center">7</td>
99
    <td width="18%" align="center"><a href="#Регистр CNT1">CNT1</a></td>
100
    <td width="69%">&nbsp;Счётчик принимаемых слов</td>
101
  </tr>
102
  <tr>
103
    <td width="13%" align="center">8</td>
104
    <td width="18%" align="center"><a href="#Регистр CNT2">CNT2</a></td>
105
    <td width="69%">&nbsp;Счётчик пропускаемых слов</td>
106
  </tr>
107
  <tr>
108
    <td width="100%" align="left" colspan="3">&nbsp;&nbsp; Индивидуальные регистры&nbsp;</td>
109
  </tr>
110
  <tr>
111
    <td width="13%" align="center">9</td>
112
    <td width="18%" align="center">MODE1</td>
113
    <td width="69%">&nbsp;Регистр управления MODE1</td>
114
  </tr>
115
  <tr>
116
    <td width="13%" align="center"><span lang="en-us">0x0A</span></td>
117
    <td width="18%" align="center">MODE2</td>
118
    <td width="69%">&nbsp;Регистр управления MODE2</td>
119
  </tr>
120
  <tr>
121
    <td width="13%" align="center"><span lang="en-us">0x0B</span></td>
122
    <td width="18%" align="center">MODE3</td>
123
    <td width="69%">&nbsp;Регистр управления MODE3</td>
124
  </tr>
125
  <tr>
126
    <td width="13%" align="center"><span lang="en-us">0x0C</span></td>
127
    <td width="18%" align="center">SFLAG_<span lang="en-us">PAE</span></td>
128
    <td width="69%"><span lang="en-us">&nbsp;</span>Установка уровня
129
        срабатывания флага <span lang="en-us">PAE</span></td>
130
  </tr>
131
  <tr>
132
    <td width="13%" align="center"><span lang="en-us">0x0D</span></td>
133
    <td width="18%" align="center"><span lang="en-us">SFLAG_PAF</span></td>
134
    <td width="69%"><span lang="en-us">&nbsp;</span>Установка уровня
135
        срабатывания флага <span lang="en-us">PAF</span></td>
136
  </tr>
137
  <tr>
138
    <td width="13%" align="center"><span lang="en-us">0x0E</span></td>
139
    <td width="18%" align="center">PRT_MODE</td>
140
    <td width="69%">&nbsp;<span lang="ru">Режим претриггера</span></td>
141
  </tr>
142
  <tr>
143
    <td width="13%" align="center"><span lang="en-us">0x0F</span></td>
144
    <td width="18%" align="center">TL_MODE</td>
145
    <td width="69%"><span lang="ru">&nbsp;Режим формирования заголовка</span></td>
146
  </tr>
147
  <tr>
148
    <td width="13%" align="center"><span lang="en-us">0x10</span></td>
149
    <td width="18%" align="center"><span lang="en-us">CHAN1</span></td>
150
    <td width="69%"><span lang="en-us">&nbsp;</span>Выбор канала</td>
151
  </tr>
152
  <tr>
153
    <td width="13%" align="center"><span lang="en-us">0x11</span></td>
154
    <td width="18%" align="center"><span lang="en-us">CHAN2</span></td>
155
    <td width="69%">&nbsp;Выбор канала</td>
156
  </tr>
157
  <tr>
158
    <td width="13%" align="center"><span lang="en-us">0x12</span></td>
159
    <td width="18%" align="center"><span lang="en-us">FORMAT</span></td>
160
    <td width="69%">&nbsp;Выбор формата данных</td>
161
  </tr>
162
  <tr>
163
    <td width="13%" align="center"><span lang="en-us">0x13</span></td>
164
    <td width="18%" align="center"><span lang="en-us">FSRC</span></td>
165
    <td width="69%">&nbsp;Выбор источника тактовой частоты на субмодуле</td>
166
  </tr>
167
  <tr>
168
    <td width="13%" align="center"><span lang="en-us">0x14</span></td>
169
    <td width="18%" align="center"><span lang="en-us">FDVR</span></td>
170
    <td width="69%">&nbsp;Выбор коэффициента деления тактовой частоты</td>
171
  </tr>
172
  <tr>
173
    <td width="13%" align="center"><span lang="en-us">0x15</span></td>
174
    <td width="18%" align="center"><span lang="en-us">GAIN</span></td>
175
    <td width="69%">&nbsp;Выбор коэффициента усиления</td>
176
  </tr>
177
  <tr>
178
    <td width="13%" align="center"><span lang="en-us">0x16</span></td>
179
    <td width="18%" align="center"><span lang="en-us">INP</span></td>
180
    <td width="69%">&nbsp;Управление входами субмодуля</td>
181
  </tr>
182
  <tr>
183
    <td width="13%" align="center"><span lang="en-us">0x17</span></td>
184
    <td width="18%" align="center"><span lang="en-us">CONTROL1</span></td>
185
    <td width="69%">&nbsp;Регистр управления субмодулем</td>
186
  </tr>
187
  <tr>
188
    <td width="13%" align="center">0<span lang="en-us">x18<br>
189
        0x1F</span></td>
190
    <td width="18%" align="center"><span lang="en-us">-</span></td>
191
    <td width="69%"><span lang="en-us">&nbsp;</span>Резерв</td>
192
  </tr>
193
</table>
194
<br>
195
 
196
Командные регистры предназначены для
197
управления ресурсами тетрады. Командные
198
регистры доступны для записи и для чтения.
199
При записи в регистр также производится
200
запись в теневое ОЗУ, при чтении данные
201
читаются из ОЗУ. Начальное значение всех
202
регистров 0. После сброса не происходит
203
обнуление теневого ОЗУ. При программном
204
сбросе тетрады требуется записать 0 во все
205
командные регистры тетрады.&nbsp;<br>
206
Общие регистры существуют во всех тетрадах.
207
Если функция, которую выполняет регистр, не
208
требуется, то регистр в тетраде не
209
реализуется.<br>
210
Состав и назначение индивидуальных
211
регистров определяется конкретной
212
тетрадой. В данном документе приводится рекомендуемый состав регистров тетрады.<h3><a name="Константы">Константы</a></h3>
213
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
214
  <tr>
215
    <td width="15%" align="center"><b>Номер</b></td>
216
    <td width="21%" align="center"><b>Название</b></td>
217
    <td width="104%"><b>&nbsp;Описание</b></td>
218
  </tr>
219
  <tr>
220
    <td width="15%" align="center">0x100</td>
221
    <td width="21%" align="center"><a href="#Регистр ID">ID</a></td>
222
    <td width="104%">&nbsp;Идентификатор тетрады&nbsp;</td>
223
  </tr>
224
  <tr>
225
    <td width="15%" align="center">0x101</td>
226
    <td width="21%" align="center"><a href="#Регистр ID_MODE">ID_MOD</a></td>
227
    <td width="104%">&nbsp;Модификатор тетрады</td>
228
  </tr>
229
  <tr>
230
    <td width="15%" align="center">0x102</td>
231
    <td width="21%" align="center"><a href="#Регистр VER">VER</a></td>
232
    <td width="104%">&nbsp;Версия тетрады</td>
233
  </tr>
234
  <tr>
235
    <td width="15%" align="center">0x103</td>
236
    <td width="21%" align="center"><a href="#Регистр TRES">TRES</a></td>
237
    <td width="104%">&nbsp;Ресурсы тетрады</td>
238
  </tr>
239
  <tr>
240
    <td width="15%" align="center">0x104</td>
241
    <td width="21%" align="center"><a href="#Регистр FSIZE">FSIZE</a></td>
242
    <td width="104%">&nbsp;Размер FIFO</td>
243
  </tr>
244
  <tr>
245
    <td width="15%" align="center">0x105</td>
246
    <td width="21%" align="center"><a href="#Регистр FTYPE">FTYPE</a></td>
247
    <td width="104%">&nbsp;Тип FIFO</td>
248
  </tr>
249
  <tr>
250
    <td width="15%" align="center">0x106</td>
251
    <td width="21%" align="center"><a href="#Регистр PATH">PATH</a></td>
252
    <td width="104%">&nbsp;Подключение тетрады</td>
253
  </tr>
254
  <tr>
255
    <td width="15%" align="center">0x107</td>
256
    <td width="21%" align="center"><a href="#Регистр ID_NUM">ID_NUM</a></td>
257
    <td width="104%">&nbsp;Номер экземпляра тетрады</td>
258
  </tr>
259
  <tr>
260
    <td width="15%" align="center">0x108</td>
261
    <td width="21%" align="center"><a href="#Регистр PFSIZE">PFSIZE</a></td>
262
    <td width="104%">&nbsp;Размер FIFO <span lang="ru">претриггера </span></td>
263
  </tr>
264
  <tr>
265
    <td width="15%" align="center">0x109<br>
266
      0x11F</td>
267
    <td width="21%" align="center">-</td>
268
    <td width="104%">&nbsp;Индивидуальные константы
269
      тетрад</td>
270
  </tr>
271
</table>
272
<br>
273
Константы предназначены для хранения
274
информации о параметрах тетрады. Значения
275
констант хранятся в ПЗУ ПЛИС.<h3><a name="Константы0">Непосредственные регистры</a></h3>
276
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" id="table1" style="border-collapse: collapse">
277
  <tr>
278
    <td width="15%" align="center"><b>Номер</b></td>
279
    <td width="21%" align="center"><b>Название</b></td>
280
    <td width="104%"><b>&nbsp;Описание</b></td>
281
  </tr>
282
  <tr>
283
    <td width="15%" align="center">0x200</td>
284
    <td width="21%" align="center"><a href="#Регистр ID"><span lang="en-us">
285
        FLAG_CLR</span></a></td>
286
    <td width="104%"><span lang="en-us">&nbsp;</span>Сброс флагов в регистре
287
        <span lang="en-us">STATUS</span></td>
288
  </tr>
289
  <tr>
290
    <td width="15%" align="center">0<span lang="en-us">x208</span></td>
291
    <td width="21%" align="center"><a href="#Регистр ID_MODE">
292
        <span lang="en-us">ADC_OR</span></a></td>
293
    <td width="104%"><span lang="en-us">&nbsp;</span>Регистр переполнения АЦП</td>
294
  </tr>
295
  <tr>
296
    <td width="15%" align="center"><span lang="ru">0</span>x209</td>
297
    <td width="21%" align="center">PRT_STATUS</td>
298
    <td width="104%">&nbsp;<span lang="ru">Регистр состояния претриггера</span></td>
299
  </tr>
300
  <tr>
301
    <td width="15%" align="center">0x20A</td>
302
    <td width="21%" align="center">PRT_CNTL</td>
303
    <td width="104%">&nbsp;<span lang="ru">Номер слова в котором произошло
304
        событие старта. Младшие разряды.</span></td>
305
  </tr>
306
  <tr>
307
    <td width="15%" align="center">0x20B</td>
308
    <td width="21%" align="center">PRT_CNTH</td>
309
    <td width="104%">&nbsp;<span lang="ru">Номер слова в котором произошло
310
        событие старта. Старшие разряды.</span></td>
311
  </tr>
312
  <tr>
313
    <td width="15%" align="center">0x20C</td>
314
    <td width="21%" align="center">TL_ADR</td>
315
    <td width="104%">&nbsp;<span lang="ru">Регистр адреса заголовка</span></td>
316
  </tr>
317
  <tr>
318
    <td width="15%" align="center">0x20D</td>
319
    <td width="21%" align="center">TL_DATA</td>
320
    <td width="104%"><span lang="ru">&nbsp;Регистр данных заголовка</span></td>
321
  </tr>
322
  </table>
323
<br>
324
Непосредственные регистры предназначены для реализации дополнительных регистров
325
управления и состояния.
326
<p><br>
327
</p>
328
<h2>Описание регистров</h2>
329
<h3><a name="Регистр STATUS, IRQ_MASK, IRQ_INV">Регистр STATUS, IRQ_MASK, IRQ_INV</a></h3>
330
 
331
 
332
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
333
  <tr>
334
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
335
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
336
    <td width="66%"><b>&nbsp;Описание</b></td>
337
  </tr>
338
  <tr>
339
    <td width="5%" align="center">0</td>
340
    <td width="6%" align="center">CMD_RDY</td>
341
    <td width="66%">&nbsp;1 - готовность к выполнению
342
      команды</td>
343
  </tr>
344
  <tr>
345
    <td width="5%" align="center">1</td>
346
    <td width="6%" align="center">RDY</td>
347
    <td width="66%">&nbsp;1 - готовность FIFO</td>
348
  </tr>
349
  <tr>
350
    <td width="5%" align="center">2</td>
351
    <td width="6%" align="center">EF</td>
352
    <td width="66%">&nbsp;0 - FIFO пустое</td>
353
  </tr>
354
  <tr>
355
    <td width="5%" align="center">3</td>
356
    <td width="6%" align="center">PAE</td>
357
    <td width="66%">&nbsp;0 - FIFO почти пустое</td>
358
  </tr>
359
  <tr>
360
    <td width="5%" align="center">4</td>
361
    <td width="6%" align="center">HF</td>
362
    <td width="66%">&nbsp;0 - FIFO заполнено наполовину</td>
363
  </tr>
364
  <tr>
365
    <td width="5%" align="center">5</td>
366
    <td width="6%" align="center">PAF</td>
367
    <td width="66%">&nbsp;0 - FIFO почти полное</td>
368
  </tr>
369
  <tr>
370
    <td width="5%" align="center">6</td>
371
    <td width="6%" align="center">FF</td>
372
    <td width="66%">&nbsp;0 - FIFO полное</td>
373
  </tr>
374
  <tr>
375
    <td width="5%" align="center">7</td>
376
    <td width="6%" align="center">OVR</td>
377
    <td width="66%">&nbsp;1 - запись в полное FIFO</td>
378
  </tr>
379
  <tr>
380
    <td width="5%" align="center">8</td>
381
    <td width="6%" align="center">UND</td>
382
    <td width="66%">&nbsp;1 - чтение из пустого FIFO</td>
383
  </tr>
384
  <tr>
385
    <td width="5%" align="center">9</td>
386
    <td width="6%" align="center"><span lang="en-us">ERR</span></td>
387
    <td width="66%"><span lang="en-us">&nbsp;1 - </span>ошибка доступа к
388
        регистрам</td>
389
  </tr>
390
  <tr>
391
    <td width="5%" align="center">10</td>
392
    <td width="6%" align="center"><span lang="en-us">START</span></td>
393
    <td width="66%"><span lang="en-us">&nbsp;1 - </span>разрешение работы
394
        тетрады</td>
395
  </tr>
396
  <tr>
397
    <td width="5%" align="center">11</td>
398
    <td width="6%" align="center"><span lang="en-us">OR</span></td>
399
    <td width="66%"><span lang="en-us">&nbsp;1 - </span>переполнение в одном из
400
        каналов АЦП</td>
401
  </tr>
402
        <tr>
403
    <td width="5%" align="center">12<br>
404
      15</td>
405
    <td width="6%" align="center">-</td>
406
    <td width="66%">&nbsp;Индивидуальные флаги тетрад </td>
407
  </tr>
408
</table>
409
<p>
410
Регистр STATUS показывает текущее значение
411
флагов.<br>
412
Регистр IRQ_MASK показывает&nbsp; разряды
413
регистра STATUS, по которым будет
414
формироваться прерывание. Значение 1 в
415
разряде регистра IRQ_MASK разрешает
416
формирование прерывания от
417
соответствующего разряда регистра STATUS.<br>
418
Регистр IRQ_INV определяет инверсию условия
419
прерывания. Значение 0 в разряде регистра
420
IRQ_INV определяет формирование прерывания по
421
значению 1 в разряде регистре STATUS.<br>
422
Значение 1 в разряде регистра IRQ_INV
423
определяет формирование прерывания по
424
значению 0 в разряде регистра STATUS.</p>
425
<p>Уровни срабатывания флагов FIFO
426
определяются конкретной тетрадой и
427
прошивкой ПЛИС.<br>
428
Флаги переполнения и недогрузки FIFO (OVR, UND)
429
сбрасываются при сбросе FIFO.&nbsp;</p>
430
<p><span lang="ru">Флаг </span>ERR <span lang="ru">устанавливается в 1 если
431
зафиксирована ошибка доступа к регистрам.<br>
432
Флаг </span>START <span lang="ru">устанавливается в 1 если разрешён сбор данных.
433
<br>
434
Флаг</span>&nbsp; <span lang="en-us">OR </span>&nbsp;устанавливаются в 1 если
435
зафиксировано переполнение <span lang="ru">хотя бы в одном из каналов АЦП.</span></p>
436
<p>В разряды 12..15, а также при необходимости и в разряды 10,11 могут
437
добавляться индивидуальные биты состояния тетрады. Для сброса флагов
438
рекомендуется использовать регистр <span lang="en-us">FLAG_CLR.</span></p>
439
<h3><a name="Регистр DATA">Регистр DATA</a></h3>
440
 
441
 
442
<p>Регистр DATA предназначен для организации
443
потока данных. Наиболее часто подключается
444
к FIFO, однако возможны и другие варианты
445
подключения. Число используемых разрядов
446
определяется конкретной тетрадой.</p>
447
 
448
 
449
<h3><a name="Регистр CMD_ADR">Регистр CMD_ADR</a></h3>
450
 
451
 
452
<table border="2" width="90%" bordercolorlight="#000000" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse" cellspacing="0">
453
  <tr>
454
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
455
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
456
    <td width="66%"><b>&nbsp;Описание</b></td>
457
  </tr>
458
  <tr>
459
    <td width="5%" align="center">7..0</td>
460
    <td width="6%" align="center">REG</td>
461
    <td width="66%">&nbsp;Номер регистра</td>
462
  </tr>
463
  <tr>
464
    <td width="5%" align="center">9..8</td>
465
    <td width="6%" align="center">GROUP</td>
466
    <td width="66%">&nbsp;Группа регистров:<br>
467
      &nbsp;00 - командные регистры<br>
468
      &nbsp;01 - константы<br>
469
      &nbsp;10 - непосредственные регистры<br>
470
      &nbsp;11 - резерв</td>
471
  </tr>
472
</table>
473
<p>Регистр CMD_ADR определяет номер косвенного
474
регистра, к которому будет производится
475
обращения через регистр CMD_DATA.</p>
476
<h3><a name="Регистр CMD_DATA">Регистр CMD_DATA</a></h3>
477
 
478
 
479
<table border="2" width="90%" bordercolorlight="#000000" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse" cellspacing="0">
480
  <tr>
481
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
482
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
483
    <td width="66%"><b>&nbsp;Описание</b></td>
484
  </tr>
485
  <tr>
486
    <td width="5%" align="center">15..0</td>
487
    <td width="6%" align="center">CMD_DATA</td>
488
    <td width="66%">&nbsp;Данные косвенного регистра</td>
489
  </tr>
490
</table>
491
<p>Через регистр CMD_DATA производится
492
обращение к косвенным регистрам.</p>
493
<h3><a name="Регистр MODE0">Регистр MODE0</a></h3>
494
 
495
 
496
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
497
  <tr>
498
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
499
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
500
    <td width="66%"><b>&nbsp;Описание</b></td>
501
  </tr>
502
  <tr>
503
    <td width="5%" align="center">0</td>
504
    <td width="6%" align="center">RST</td>
505
    <td width="66%">&nbsp;Тетрада MAIN:&nbsp; 1 -&nbsp; сброс
506
      ПЛИС<br>
507
      &nbsp;Остальные тетрады: 1 - сброс тетрады</td>
508
  </tr>
509
  <tr>
510
    <td width="5%" align="center">1</td>
511
    <td width="6%" align="center">FIFO_RST</td>
512
    <td width="66%">&nbsp;1 - сброс FIFO</td>
513
  </tr>
514
  <tr>
515
    <td width="5%" align="center">2</td>
516
    <td width="6%" align="center">IRQ_EN</td>
517
    <td width="66%">&nbsp;1 - разрешение формирования
518
      прерываний от тетрады</td>
519
  </tr>
520
  <tr>
521
    <td width="5%" align="center">3</td>
522
    <td width="6%" align="center">DRQ_EN</td>
523
    <td width="66%">&nbsp;1 - разрешение формирования
524
      запроса DMA от тетрады</td>
525
  </tr>
526
  <tr>
527
    <td width="5%" align="center">4</td>
528
    <td width="6%" align="center">MASTER</td>
529
    <td width="66%">&nbsp;Тетрада MAIN:<br>
530
      &nbsp;&nbsp; 1 - работа модуля в режиме MASTER<br>
531
      &nbsp;&nbsp; 0 - работа модуля в режиме SLAVE<br>
532
      &nbsp;Остальные тетрады:<br>
533
      &nbsp;&nbsp; 1 - работа тетрады в режиме SINGLE<br>
534
      &nbsp;&nbsp; 0 - работа тетрады в режиме SLAVE</td>
535
  </tr>
536
  <tr>
537
    <td width="5%" align="center">5</td>
538
    <td width="6%" align="center">START</td>
539
    <td width="66%">&nbsp; 1 - программный старт</td>
540
  </tr>
541
  <tr>
542
    <td width="5%" align="center">6</td>
543
    <td width="6%" align="center">ADM_MSYNC</td>
544
    <td width="66%">&nbsp; Выбор источника тактовой
545
      частоты:<br>
546
      &nbsp;&nbsp; 1 - источник на субмодуле<br>
547
      &nbsp;&nbsp; 0 - источник выбирается FMODE[GEN]</td>
548
  </tr>
549
  <tr>
550
    <td width="5%" align="center">7</td>
551
    <td width="6%" align="center">RT</td>
552
    <td width="66%">&nbsp;1 - <span lang="ru">включить циклический режим работы
553
        </span>FIFO</td>
554
  </tr>
555
  <tr>
556
    <td width="5%" align="center">8</td>
557
    <td width="6%" align="center">CNT0_EN</td>
558
    <td width="66%">&nbsp;1 - разрешение работы счётчика
559
      CNT0</td>
560
  </tr>
561
  <tr>
562
    <td width="5%" align="center">9</td>
563
    <td width="6%" align="center">CNT1_EN</td>
564
    <td width="66%">&nbsp;1 - разрешение работы счётчика
565
      CNT1</td>
566
  </tr>
567
  <tr>
568
    <td width="5%" align="center">10</td>
569
    <td width="6%" align="center">CNT2_EN</td>
570
    <td width="66%">&nbsp;1 - разрешение работы счётчика
571
      CNT2</td>
572
  </tr>
573
  <tr>
574
    <td width="5%" align="center">11</td>
575
    <td width="6%" align="center">-</td>
576
    <td width="66%">&nbsp;Резерв</td>
577
  </tr>
578
  <tr>
579
    <td width="5%" align="center">13..12</td>
580
    <td width="6%" align="center">DRQ_FLAG</td>
581
    <td width="66%">&nbsp;Выбор источника запроса DMA:<br>
582
      &nbsp; 00 - PAE=1 для FIFO ввода, PAF=1 для FIFO вывода<br>
583
      &nbsp; 01 - RDY=1&nbsp;<br>
584
      &nbsp; 10 - HF=0 для FIFO ввода, HF=1 для FIFO вывода<br>
585
      &nbsp; 11 - резерв</td>
586
  </tr>
587
  <tr>
588
    <td width="5%" align="center">14</td>
589
    <td width="6%" align="center">-</td>
590
    <td width="66%">&nbsp;Резерв</td>
591
  </tr>
592
  <tr>
593
    <td width="5%" align="center">15</td>
594
    <td width="6%" align="center">EXTFIFO_EN</td>
595
    <td width="66%">&nbsp;1 - <span lang="ru">переключение тетрады в режим
596
        работы с внешним </span>FIFO. <span lang="ru">Если такой поддержки тетрада
597
        не имеет, то содержимое поля не имеет значения. </span></td>
598
  </tr>
599
</table>
600
<p>Регистр MODE0 предназначен для реализации
601
общего управления тетрадой.</p>
602
<p><span lang="ru">Бит </span>RST<span lang="ru">=1 приводит с сбросу всех
603
регистров тетрады. Командные регистры устанавливаются в 0. При сбросе, после
604
формирования </span>RST=1<span lang="ru">, необходимо во все командные регистры
605
прописать значение 0. Это необходимо для приведения в исходное состояние
606
теневого ОЗУ.</span></p>
607
<p><span lang="ru">Бит </span>RT=1 <span lang="ru">включает циклический режим
608
работы </span>FIFO. <span lang="ru">Этот режим поддерживается для тетрад вывода
609
данных. Бит </span>RT=1 <span lang="ru">должен быть установлен после записи
610
всего массива данных в </span>FIFO <span lang="ru">и перед разрешением вывода
611
данных.</span></p>
612
<p>&nbsp;</p>
613
<h3><a name="Регистр STMODE">Регистр STMODE</a></h3>
614
 
615
 
616
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
617
  <tr>
618
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
619
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
620
    <td width="66%"><b>&nbsp;Описание</b></td>
621
  </tr>
622
  <tr>
623
    <td width="5%" align="center">4..0</td>
624
    <td width="6%" align="center">MSTART</td>
625
    <td width="66%">&nbsp;Выбор сигнала старта</td>
626
  </tr>
627
  <tr>
628
    <td width="5%" align="center">5</td>
629
    <td width="6%" align="center">-</td>
630
    <td width="66%">&nbsp;Резерв</td>
631
  </tr>
632
  <tr>
633
    <td width="5%" align="center">6</td>
634
    <td width="6%" align="center">START_INV</td>
635
    <td width="66%">&nbsp;1 - инверсия сигнала старта</td>
636
  </tr>
637
  <tr>
638
    <td width="5%" align="center">7</td>
639
    <td width="6%" align="center">TRIGSTART</td>
640
    <td width="66%">&nbsp;1 - триггерный старт</td>
641
  </tr>
642
  <tr>
643
    <td width="5%" align="center">12..8</td>
644
    <td width="6%" align="center">MSTOP</td>
645
    <td width="66%">&nbsp;Выбор сигнала останова при
646
      триггерном старте</td>
647
  </tr>
648
  <tr>
649
    <td width="5%" align="center">13</td>
650
    <td width="6%" align="center">-</td>
651
    <td width="66%">&nbsp;Резерв</td>
652
  </tr>
653
  <tr>
654
    <td width="5%" align="center">14</td>
655
    <td width="6%" align="center">STOP_INV</td>
656
    <td width="66%">&nbsp;1 - инверсия сигнала останова</td>
657
  </tr>
658
  <tr>
659
    <td width="5%" align="center">15&nbsp;</td>
660
    <td width="6%" align="center"><span lang="en-us">START_AUTO</span></td>
661
    <td width="66%">&nbsp;1 - режим сбора с автоматическими перезапуском</td>
662
  </tr>
663
</table>
664
<p>Поля MSTART, MSTOP выбирают источник старта и
665
останова из сетки сигналов старта, которая
666
определяется ПЛИС. Описание сетки сигналов
667
приводится в описании конкретной прошивки
668
ПЛИС.</p>
669
<p>Режим сбора с автоматическим перезапуском функционирует следующим образом: в
670
режиме триггерного старта по событию происходит запуск сбора данных. Блок данных
671
собирается либо в режиме претриггера, либо без, в количестве данных, заданном в
672
регистре <span lang="en-us"><a href="#Регистр CNT1">CNT1</a>. </span>По
673
завершении сбора блока автоматически, без участия программы, происходит переход
674
в режим ожидания следующего события старта.&nbsp; В режиме претриггера значение
675
<span lang="en-us">CNT1 </span>должно быть задано не меньше заданного размера
676
претриггера</p>
677
<h3><a name="Регистр FMODE">Регистр FMODE</a></h3>
678
 
679
 
680
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
681
  <tr>
682
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
683
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
684
    <td width="66%"><b>&nbsp;Описание</b></td>
685
  </tr>
686
  <tr>
687
    <td width="5%" align="center">4..0</td>
688
    <td width="6%" align="center">GEN</td>
689
    <td width="66%">&nbsp;Выбор источника тактовой
690
      частоты</td>
691
  </tr>
692
  <tr>
693
    <td width="5%" align="center">14..5</td>
694
    <td width="6%" align="center">-</td>
695
    <td width="66%">&nbsp;Резерв</td>
696
  </tr>
697
  <tr>
698
    <td width="5%" align="center">15</td>
699
    <td width="6%" align="center">START_RST</td>
700
    <td width="66%">&nbsp;1 - сброс счётчика делителя
701
      тактовой частоты</td>
702
  </tr>
703
</table>
704
<br>
705
Поле GEN выбирает источник тактовой частоты
706
из сетки частот, которая определяется ПЛИС.
707
Описание сетки частот приводится в
708
описании конкретной прошивки ПЛИС. Выбор
709
источника через регистр FMODE производится
710
для тетрады, которая установлена в режим
711
MASTER или SINGLE и MODE0[ADM_MSYNC]=0.<br>
712
При установке START_RST=1, при возникновении
713
условия старта производится сброс счётчика
714
делителя тактовой частота. Это позволяет
715
привязать фазу тактового сигнала к событию
716
старта. Приостановка сбора данных по
717
счётчикам CNT0, CNT2 не сбрасывает счётчик
718
делителя тактовой частоты.<br>
719
Для отдельных тетрад назначение разрядов и
720
выбор источника может изменяться.<br>
721
<h3><a name="Регистр FDIV">Регистр FDIV</a></h3>
722
 
723
 
724
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
725
  <tr>
726
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
727
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
728
    <td width="66%"><b>&nbsp;Описание</b></td>
729
  </tr>
730
  <tr>
731
    <td width="5%" align="center">15..0</td>
732
    <td width="6%" align="center">CMD_DATA</td>
733
    <td width="66%">&nbsp;Данные косвенного регистра</td>
734
  </tr>
735
</table>
736
<br>Регистр FDIV определяет коэффициент
737
деления тактовой частоты. Минимальный
738
коэффициент деления 1. Максимальный 0.
739
<h3><a name="Регистр CNT0">Регистр CNT0</a></h3>
740
 
741
 
742
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
743
  <tr>
744
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
745
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
746
    <td width="66%"><b>&nbsp;Описание</b></td>
747
  </tr>
748
  <tr>
749
    <td width="5%" align="center">15..0</td>
750
    <td width="6%" align="center">CNT0</td>
751
    <td width="66%">&nbsp;Счётчик начальной задержки</td>
752
  </tr>
753
</table>
754
<p>Регистр счётчика начальной задержки. При
755
разрешении MODE0[CNT0_EN]=1, разрешение работы
756
будет задержано на значение CNT0. Если работа
757
счётчика запрещена, то разрешение работы
758
будет сразу после возникновения условия
759
старта.</p>
760
<h3><a name="Регистр CNT1">Регистр CNT1</a></h3>
761
 
762
 
763
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
764
  <tr>
765
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
766
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
767
    <td width="66%"><b>&nbsp;Описание</b></td>
768
  </tr>
769
  <tr>
770
    <td width="5%" align="center">15..0</td>
771
    <td width="6%" align="center">CNT1</td>
772
    <td width="66%">&nbsp;Счётчик принимаемых данных.</td>
773
  </tr>
774
</table>
775
<p>Регистр счётчика принимаемых данных. При
776
разрешении MODE0[CNT1_EN]=1, разрешение работы
777
будет выдано только на число тактов CNT1. Если
778
разрешена работа счётчика CNT2, то разрешение
779
работы будет заблокировано на число тактов
780
CNT2 и далее цикл разрешения и запрета будет
781
повторяться. Если работа счётчика
782
запрещена, то будет произведён только один
783
цикл разрешения работы.&nbsp;<br>
784
Если работа счётчика CNT1 запрещена, то
785
разрешение работы будет сразу после
786
возникновения условия страта и разрешения
787
по счётчику CNT0.</p>
788
<h3><a name="Регистр CNT2">Регистр CNT2</a></h3>
789
 
790
 
791
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
792
  <tr>
793
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
794
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
795
    <td width="66%"><b>&nbsp;Описание</b></td>
796
  </tr>
797
  <tr>
798
    <td width="5%" align="center">15..0</td>
799
    <td width="6%" align="center">CNT2</td>
800
    <td width="66%">&nbsp;Счётчик пропускаемых данных</td>
801
  </tr>
802
</table>
803
<p>&nbsp;Счётчик определяет число тактов, на
804
которое снимается сигнал разрешение работы.</p>
805
<h3><a name="Регистр ID">Регистр ID</a></h3>
806
 
807
 
808
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
809
  <tr>
810
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
811
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
812
    <td width="66%"><b>&nbsp;Описание</b></td>
813
  </tr>
814
  <tr>
815
    <td width="5%" align="center">15..0</td>
816
    <td width="6%" align="center">ID</td>
817
    <td width="66%">&nbsp;Идентификатор тетрады</td>
818
  </tr>
819
</table>
820
<p>Регистр определяет уникальный
821
идентификатор тетрады.</p>
822
<h3><a name="Регистр ID_MODE">Регистр ID_MODE</a></h3>
823
 
824
 
825
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
826
  <tr>
827
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
828
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
829
    <td width="66%"><b>&nbsp;Описание</b></td>
830
  </tr>
831
  <tr>
832
    <td width="5%" align="center">15..0</td>
833
    <td width="6%" align="center">ID_MODE</td>
834
    <td width="66%">&nbsp;Модификатор тетрады</td>
835
  </tr>
836
</table>
837
<p>Регистр определяет модификатор
838
исполнения тетрады. Тетрада может быть
839
разработана в различных исполнениях,
840
например с различной шириной и размером FIFO.
841
С использованием или без использования
842
счётчиков CNT0..CNT2 и т.д. Одновременно
843
могут&nbsp;использоваться несколько тетрад с
844
различным модификатором.</p>
845
<h3><a name="Регистр VER">Регистр VER</a></h3>
846
 
847
 
848
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
849
  <tr>
850
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
851
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
852
    <td width="66%"><b>&nbsp;Описание</b></td>
853
  </tr>
854
  <tr>
855
    <td width="5%" align="center">7..0</td>
856
    <td width="6%" align="center">V0</td>
857
    <td width="66%">&nbsp;Поле V0</td>
858
  </tr>
859
  <tr>
860
    <td width="5%" align="center">15..8</td>
861
    <td width="6%" align="center">V1</td>
862
    <td width="66%">&nbsp;Поле V1</td>
863
  </tr>
864
</table>
865
<p>Регистр определяет версию тетрады. Поле V0
866
изменяется при незначительных изменениях
867
алгоритма работы, исправлении ошибок. Поле
868
V1 изменяется при изменении состава
869
регистров или существенных изменениях
870
алгоритма работы.</p>
871
<h3><a name="Регистр TRES">Регистр TRES</a></h3>
872
 
873
 
874
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
875
  <tr>
876
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
877
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
878
    <td width="66%"><b>&nbsp;Описание</b></td>
879
  </tr>
880
  <tr>
881
    <td width="5%" align="center">3..0</td>
882
    <td width="6%" align="center">-</td>
883
    <td width="66%">&nbsp;Резерв</td>
884
  </tr>
885
  <tr>
886
    <td width="5%" align="center">4</td>
887
    <td width="6%" align="center">FIFO_IN</td>
888
    <td width="66%">&nbsp;1 - тетрада имеет FIFO для чтения
889
      данных процессором</td>
890
  </tr>
891
  <tr>
892
    <td width="5%" align="center">5</td>
893
    <td width="6%" align="center">FIFO_OUT</td>
894
    <td width="66%">&nbsp;1 - тетрада имеет FIFO для вывода
895
      данных из процессора</td>
896
  </tr>
897
  <tr>
898
    <td width="5%" align="center">6</td>
899
    <td width="6%" align="center">SFLAG_E</td>
900
    <td width="66%">&nbsp;1 - тетрада может
901
      устанавливать уровень срабатывания
902
      флаг<span lang="ru">а </span>PAE FIFO <span lang="ru">тетрады</span></td>
903
  </tr>
904
  <tr>
905
    <td width="5%" align="center"><span lang="ru">7</span></td>
906
    <td width="6%" align="center">SFLAG_F</td>
907
    <td width="66%"><span lang="ru">&nbsp;</span>1 - тетрада может
908
      устанавливать уровень срабатывания
909
      флаг<span lang="ru">а </span>PAF FIFO<span lang="ru"> тетрады</span></td>
910
  </tr>
911
  <tr>
912
    <td width="5%" align="center"><span lang="ru">8</span></td>
913
    <td width="6%" align="center">PRETRIG</td>
914
    <td width="66%">&nbsp;1 - <span lang="ru">тетрада поддерживает работу в
915
        режиме претриггера</span></td>
916
  </tr>
917
  <tr>
918
    <td width="5%" align="center"><span lang="ru">9</span></td>
919
    <td width="6%" align="center">EXTFIFO</td>
920
    <td width="66%">&nbsp;1 - <span lang="ru">тетрада поддерживает внешнее
921
        </span>FIFO</td>
922
  </tr>
923
  <tr>
924
    <td width="5%" align="center">15..<span lang="ru">10</span></td>
925
    <td width="6%" align="center">-</td>
926
    <td width="66%">&nbsp;Резерв</td>
927
  </tr>
928
</table>
929
<p>Регистр определяет ресурсы тетрады.</p>
930
<h3><a name="Регистр FSIZE">Регистр FSIZE</a></h3>
931
 
932
 
933
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
934
  <tr>
935
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
936
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
937
    <td width="66%"><b>&nbsp;Описание</b></td>
938
  </tr>
939
  <tr>
940
    <td width="5%" align="center">15..0</td>
941
    <td width="6%" align="center">SIZE</td>
942
    <td width="66%">&nbsp;Размер FIFO</td>
943
  </tr>
944
</table>
945
<p>Регистр определяет число слов в FIFO. Если
946
значение регистра 0,&nbsp; а в регистре TRES
947
указано наличие FIFO, то размер FIFO должен
948
определятся по другим источникам.</p>
949
<h3><a name="Регистр PFSIZE">Регистр PFSIZE</a></h3>
950
 
951
 
952
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse" id="table3">
953
  <tr>
954
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
955
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
956
    <td width="66%"><b>&nbsp;Описание</b></td>
957
  </tr>
958
  <tr>
959
    <td width="5%" align="center">15..0</td>
960
    <td width="6%" align="center">SIZE</td>
961
    <td width="66%">&nbsp;Размер FIFO</td>
962
  </tr>
963
</table>
964
<p>Регистр определяет число слов в FIFO <span lang="ru">претриггера</span>. Если
965
значение регистра 0,&nbsp; <span lang="ru">то претриггер реализован на базе
966
основного </span>FIFO <span lang="ru">тетрады</span>.</p>
967
<h3><a name="Регистр FTYPE">Регистр FTYPE</a></h3>
968
 
969
 
970
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
971
  <tr>
972
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
973
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
974
    <td width="66%"><b>&nbsp;Описание</b></td>
975
  </tr>
976
  <tr>
977
    <td width="5%" align="center">7..0</td>
978
    <td width="6%" align="center">SIZE</td>
979
    <td width="66%">&nbsp;Число разрядов шины данных FIFO,
980
      подключённых к локальной шине</td>
981
  </tr>
982
</table>
983
<p>Регистр определяет ширину&nbsp; FIFO со
984
стороны локальной шины.</p>
985
<h3><a name="Регистр PATH">Регистр PATH</a></h3>
986
 
987
 
988
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
989
  <tr>
990
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
991
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
992
    <td width="66%"><b>&nbsp;Описание</b></td>
993
  </tr>
994
  <tr>
995
    <td width="5%" align="center">7..0</td>
996
    <td width="6%" align="center">IN</td>
997
    <td width="66%">&nbsp;Подключение входа данных
998
      тетрады</td>
999
  </tr>
1000
  <tr>
1001
    <td width="5%" align="center">15..8</td>
1002
    <td width="6%" align="center">OUT</td>
1003
    <td width="66%">&nbsp;Подключение выхода данных
1004
      тетрады</td>
1005
  </tr>
1006
</table>
1007
<p>Регистр определяет <span lang="ru">подключение </span>FIFO <span lang="ru">
1008
тетрады.</span></p>
1009
<h3><a name="Коды полей IN, OUT регистра PATH">Коды
1010
полей IN, OUT регистра PATH</a></h3>
1011
 
1012
 
1013
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
1014
  <tr>
1015
    <td width="5%" align="center"><b>Значение</b></td>
1016
    <td width="6%" align="center"><b>Название</b></td>
1017
    <td width="66%"><b>&nbsp;Описание</b></td>
1018
  </tr>
1019
  <tr>
1020
    <td width="5%" align="center">0</td>
1021
    <td width="6%" align="center">-</td>
1022
    <td width="66%">&nbsp;Не подключено</td>
1023
  </tr>
1024
  <tr>
1025
    <td width="5%" align="center">1</td>
1026
    <td width="6%" align="center">LB</td>
1027
    <td width="66%">&nbsp;Локальная шина</td>
1028
  </tr>
1029
  <tr>
1030
    <td width="5%" align="center">2</td>
1031
    <td width="6%" align="center">ADM</td>
1032
    <td width="66%">&nbsp;Разъём ADM</td>
1033
  </tr>
1034
  <tr>
1035
    <td width="5%" align="center">3</td>
1036
    <td width="6%" align="center">DAC0</td>
1037
    <td width="66%">&nbsp;ЦАП0</td>
1038
  </tr>
1039
  <tr>
1040
    <td width="5%" align="center">4</td>
1041
    <td width="6%" align="center">DAC1</td>
1042
    <td width="66%">&nbsp;ЦАП1</td>
1043
  </tr>
1044
  <tr>
1045
    <td width="5%" align="center">5</td>
1046
    <td width="6%" align="center">DAC01</td>
1047
    <td width="66%">&nbsp;ЦАП0 и ЦАП1</td>
1048
  </tr>
1049
  <tr>
1050
    <td width="5%" align="center">6</td>
1051
    <td width="6%" align="center">PIOX</td>
1052
    <td width="66%">&nbsp;Разъём PIOX</td>
1053
  </tr>
1054
  <tr>
1055
    <td width="5%" align="center">7</td>
1056
    <td width="6%" align="center">-</td>
1057
    <td width="66%">&nbsp;Резерв</td>
1058
  </tr>
1059
  <tr>
1060
    <td width="5%" align="center">23..8</td>
1061
    <td width="6%" align="center">TRDx</td>
1062
    <td width="66%">&nbsp;Тетрада x, x - 7..0</td>
1063
  </tr>
1064
</table>
1065
<h3><a name="Регистр ID_NUM">Регистр ID_NUM</a></h3>
1066
 
1067
 
1068
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
1069
  <tr>
1070
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
1071
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
1072
    <td width="66%"><b>&nbsp;Описание</b></td>
1073
  </tr>
1074
  <tr>
1075
    <td width="5%" align="center">15..0</td>
1076
    <td width="6%" align="center">CNT</td>
1077
    <td width="66%">&nbsp;Номер экземпляра тетрады</td>
1078
  </tr>
1079
</table>
1080
<p>Регистр определяет номер экземпляра
1081
тетрада. Если в прошивке ПЛИС находятся две
1082
тетрады с одним ID, то у них будут разные
1083
значения ID_NUM. У первой тетрады значение 1, у
1084
второй тетрады значение 2.</p>
1085
<p>&nbsp;</p>
1086
<h3><span lang="ru">Индивидуальные регистры</span></h3>
1087
<p><span lang="ru">В данном разделе приведены сведения о предполагаемом
1088
назначении регистров тетрады. Точное назначение регистров приводится в описании
1089
конкретной тетрады или в описании конкретной прошивки ПЛИС.</span></p>
1090
<table border="2" width="100%" id="table2" cellspacing="0" cellpadding="5" style="border-collapse: collapse" bordercolorlight="#000000" bordercolordark="#000000">
1091
        <tr>
1092
                <td width="102" align="center">MODE1</td>
1093
                <td><span lang="ru">Модификация потока данных. Используется для
1094
                переключения выхода или входа потока данных. Назначение регистра может
1095
                быть разным для одной тетрады, реализованной на разных базовых модулях.
1096
                Если регистр используется, то его описание приводится в описании
1097
                конкретной прошивки ПЛИС.<br>
1098
                В некоторых тетрадах в этом регистре реализован выбор каналов и
1099
                управление субмодулем. </span></td>
1100
        </tr>
1101
        <tr>
1102
                <td width="102" align="center">MODE2, MODE3</td>
1103
                <td><span lang="ru">Дополнительные регистры управления. Рекомендуется
1104
                использовать для управления ресурсами базового модуля.</span></td>
1105
        </tr>
1106
        <tr>
1107
                <td width="102" align="center">SFLAG_PAE</td>
1108
                <td><span lang="ru">Установка уровня срабатывания флага почти пустого
1109
                </span>FIFO. <span lang="ru">Реализуется для некоторых тетрад.</span></td>
1110
        </tr>
1111
        <tr>
1112
                <td width="102" align="center">SFLAG_PAF</td>
1113
                <td><span lang="ru">Установка уровня срабатывания флага почти полного
1114
                </span>FIFO. <span lang="ru">Реализуется для некоторых тетрад.</span></td>
1115
        </tr>
1116
        <tr>
1117
                <td width="102" align="center">PRT_MODE</td>
1118
                <td><span lang="ru">Регистр управления режимом претриггера.</span></td>
1119
        </tr>
1120
        <tr>
1121
                <td width="102" align="center">TL_MODE</td>
1122
                <td><span lang="ru">Регистр управляет записью заголовка в блок данных.</span></td>
1123
        </tr>
1124
        <tr>
1125
                <td width="102" align="center">CHANL</td>
1126
                <td><span lang="ru">Выбор канала данных. Выбираются каналы 0-15. </span>
1127
                </td>
1128
        </tr>
1129
        <tr>
1130
                <td width="102" align="center">CHANH</td>
1131
                <td><span lang="ru">Выбор канала данных. Выбираются каналы 16-31. Для
1132
                субмодулей с числом каналов до 16 регистр не реализуется.</span></td>
1133
        </tr>
1134
        <tr>
1135
                <td width="102" align="center">FORMAT</td>
1136
                <td><span lang="ru">Выбор формата данных.<br>
1137
                В этом регистре производится включение восьми разрядной упаковки данных.
1138
                Возможно применение других форматов, например преобразование в формат с
1139
                плавающей точкой.</span></td>
1140
        </tr>
1141
        <tr>
1142
                <td width="102" align="center">FSRC</td>
1143
                <td><span lang="ru">Выбор источника тактовой частоты на субмодуле. <br>
1144
                Этот регистр используется для тех субмодулей, которые имеют схему
1145
                формирования тактовой частоты.</span></td>
1146
        </tr>
1147
        <tr>
1148
                <td width="102" align="center">FDVR</td>
1149
                <td><span lang="ru">Выбор коэффициента деления тактовой частоты на
1150
                субмодуле.<br>
1151
                Этот регистр используется для тех субмодулей, которые имеют схему
1152
                формирования тактовой частоты.</span></td>
1153
        </tr>
1154
        <tr>
1155
                <td width="102" align="center">GAIN</td>
1156
                <td><span lang="ru">Выбор коэффициента передачи.<br>
1157
                Значение 0 в регистре выбирает наименьший коэффициент передачи
1158
                (максимальный входной сигнал)</span></td>
1159
        </tr>
1160
        <tr>
1161
                <td width="102" align="center">INP</td>
1162
                <td><span lang="ru">Выбор режима входа.<br>
1163
                В этом регистре находятся управления закрытым и открытым входом АЦП,
1164
                выбор входного сопротивления входа и т.д.</span></td>
1165
        </tr>
1166
        <tr>
1167
                <td width="102" align="center">CONTROL1</td>
1168
                <td><span lang="ru">Дополнительный регистр управления субмодулем.<br>
1169
                В этом регистре находятся дополнительные биты управления, которые не
1170
                попадают в стандартные регистры.<br>
1171
                Например в этом регистре могут находится биты включения удвоения
1172
                тактовой частоты, переключения входов АЦП и т.д.</span></td>
1173
        </tr>
1174
        <tr>
1175
                <td width="102" align="center">FLAG_CLR</td>
1176
                <td><span lang="ru">Сброс флагов регистра </span>STATUS.<span lang="ru">
1177
                <br>
1178
                Этот регистр используется для сброса индивидуальных флагов в регистре
1179
                </span>STATUS.<span lang="ru"><br>
1180
                Запись в регистр сбрасывает флаги в регистре </span>STATUS. </td>
1181
        </tr>
1182
        <tr>
1183
                <td width="102" align="center">ADC_OR</td>
1184
                <td><span lang="ru">Регистр </span>п<span lang="ru">ереполнения АЦП. <br>
1185
                Чтение регистра возвращает маску каналов, в которых произошло
1186
                переполнение.<br>
1187
                Запись в регистр сбрасывает соответствующие биты переполнения.</span></td>
1188
        </tr>
1189
        <tr>
1190
                <td width="102" align="center">PRT_STATUS</td>
1191
                <td><span lang="ru">Регистр состояния претриггера. Указывает номер
1192
                отсчёта в слове при котором произошло событие старта.</span></td>
1193
        </tr>
1194
        <tr>
1195
                <td width="102" align="center">PRT_CNTL</td>
1196
                <td><span lang="ru">Номер слова в считанном массиве при котором
1197
                произошло событие старта. Младшие разряды.</span></td>
1198
        </tr>
1199
        <tr>
1200
                <td width="102" align="center">PRT_CNTH</td>
1201
                <td><span lang="ru">Номер слова в считанном массиве при котором
1202
                произошло событие старта. Старшие разряды.</span></td>
1203
        </tr>
1204
        <tr>
1205
                <td width="102" align="center">TL_ADR</td>
1206
                <td><span lang="ru">Регистр адреса заголовка.</span></td>
1207
        </tr>
1208
        <tr>
1209
                <td width="102" align="center">TL_DATA</td>
1210
                <td><span lang="ru">Регистр данных заголовка.</span></td>
1211
        </tr>
1212
</table>
1213
<p>&nbsp;</p>
1214
<hr>
1215
<p>ЗАО &quot;Инструментальные Системы&quot;</p>
1216
<p>&nbsp;</p>
1217
<p>&nbsp;</p>
1218
<p>&nbsp;</p>
1219
<p>&nbsp;</p>
1220
 
1221
 
1222
</Body>
1223
</Html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.