OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_core/] [sim/] [transcript] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
# //  ModelSim SE 10.0c Jul 21 2011
2
# //
3
# //  Copyright 1991-2011 Mentor Graphics Corporation
4
# //  All Rights Reserved.
5
# //
6
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
7
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
8
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
9
# //
10
# do zz_do/setup_sim.do
11
# Cre WORK lib
12
# Compile SRC:
13
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
14
# -- Loading package STANDARD
15
# -- Loading package TEXTIO
16
# -- Loading package std_logic_1164
17
# -- Compiling package adm2_pkg
18
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
19
# -- Loading package STANDARD
20
# -- Loading package TEXTIO
21
# -- Loading package std_logic_1164
22
# -- Loading package std_logic_arith
23
# -- Loading package VITAL_Timing
24
# -- Loading package VCOMPONENTS
25
# -- Compiling entity ctrl_adsp_v2_decode_data_cs
26
# -- Compiling architecture ctrl_adsp_v2_decode_data_cs of ctrl_adsp_v2_decode_data_cs
27
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
28
# -- Loading package STANDARD
29
# -- Loading package TEXTIO
30
# -- Loading package std_logic_1164
31
# -- Loading package std_logic_arith
32
# -- Loading package VITAL_Timing
33
# -- Loading package VCOMPONENTS
34
# -- Compiling entity ctrl_adsp_v2_decode_data_we
35
# -- Compiling architecture ctrl_adsp_v2_decode_data_we of ctrl_adsp_v2_decode_data_we
36
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
37
# -- Loading package STANDARD
38
# -- Loading package TEXTIO
39
# -- Loading package std_logic_1164
40
# -- Loading package std_logic_arith
41
# -- Loading package VITAL_Timing
42
# -- Loading package VCOMPONENTS
43
# -- Compiling entity ctrl_adsp_v2_decode_ram_cs
44
# -- Compiling architecture ctrl_adsp_v2_decode_ram_cs of ctrl_adsp_v2_decode_ram_cs
45
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
46
# -- Loading package STANDARD
47
# -- Loading package TEXTIO
48
# -- Loading package std_logic_1164
49
# -- Loading package std_logic_arith
50
# -- Loading package VITAL_Timing
51
# -- Loading package VCOMPONENTS
52
# -- Compiling entity ctrl_adsp_v2_decode_cmd_adr_cs
53
# -- Compiling architecture ctrl_adsp_v2_decode_cmd_adr_cs of ctrl_adsp_v2_decode_cmd_adr_cs
54
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
55
# -- Loading package STANDARD
56
# -- Loading package TEXTIO
57
# -- Loading package std_logic_1164
58
# -- Compiling entity ctrl_mux8x48
59
# -- Compiling architecture ctrl_mux8x48_a of ctrl_mux8x48
60
# -- Loading package prims_utils_v6_0
61
# -- Loading package NUMERIC_STD
62
# -- Loading package prims_constants_v6_0
63
# -- Loading package c_reg_fd_v6_0_comp
64
# -- Loading entity C_MUX_BUS_V6_0
65
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
66
# -- Loading package STANDARD
67
# -- Loading package TEXTIO
68
# -- Loading package std_logic_1164
69
# -- Compiling entity ctrl_mux16x16
70
# -- Compiling architecture ctrl_mux16x16_a of ctrl_mux16x16
71
# -- Loading package prims_utils_v6_0
72
# -- Loading package NUMERIC_STD
73
# -- Loading package prims_constants_v6_0
74
# -- Loading package c_reg_fd_v6_0_comp
75
# -- Loading entity C_MUX_BUS_V6_0
76
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
77
# -- Loading package STANDARD
78
# -- Loading package TEXTIO
79
# -- Loading package std_logic_1164
80
# -- Compiling entity ctrl_mux8x16r
81
# -- Compiling architecture ctrl_mux8x16r_a of ctrl_mux8x16r
82
# -- Loading package prims_utils_v6_0
83
# -- Loading package NUMERIC_STD
84
# -- Loading package prims_constants_v6_0
85
# -- Loading package c_reg_fd_v6_0_comp
86
# -- Loading entity C_MUX_BUS_V6_0
87
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
88
# -- Loading package STANDARD
89
# -- Loading package TEXTIO
90
# -- Loading package std_logic_1164
91
# -- Loading package std_logic_arith
92
# -- Loading package adm2_pkg
93
# -- Compiling package pb_adm_ctrl_m2_pkg
94
# -- Loading package VITAL_Timing
95
# -- Loading package VCOMPONENTS
96
# -- Compiling entity pb_adm_ctrl_m2
97
# -- Compiling architecture pb_adm_ctrl_m2 of pb_adm_ctrl_m2
98
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
99
# -- Loading package STANDARD
100
# -- Loading package TEXTIO
101
# -- Loading package std_logic_1164
102
# -- Compiling package ctrl_blink_pkg
103
# -- Loading package std_logic_arith
104
# -- Loading package STD_LOGIC_UNSIGNED
105
# -- Loading package VCOMPONENTS
106
# -- Compiling entity ctrl_blink
107
# -- Compiling architecture ctrl_blink of ctrl_blink
108
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
109
# -- Loading package STANDARD
110
# -- Loading package TEXTIO
111
# -- Loading package std_logic_1164
112
# -- Compiling package core64_type_pkg
113
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
114
# -- Loading package STANDARD
115
# -- Loading package TEXTIO
116
# -- Loading package std_logic_1164
117
# -- Compiling entity ctrl_fifo64x37st
118
# -- Compiling architecture ctrl_fifo64x37st_a of ctrl_fifo64x37st
119
# -- Loading package std_logic_arith
120
# -- Loading package STD_LOGIC_UNSIGNED
121
# -- Loading entity fifo_generator_v8_1_bhv_as
122
# -- Loading entity fifo_generator_v8_1_bhv_ss
123
# -- Loading entity fifo_generator_v8_1_conv
124
# -- Loading entity fifo_generator_v8_1
125
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
126
# -- Loading package STANDARD
127
# -- Loading package TEXTIO
128
# -- Loading package std_logic_1164
129
# -- Loading package core64_type_pkg
130
# -- Compiling package core64_rx_engine_m4_pkg
131
# -- Loading package std_logic_arith
132
# -- Loading package STD_LOGIC_UNSIGNED
133
# -- Compiling entity core64_rx_engine_m4
134
# -- Compiling architecture core64_rx_engine_m4 of core64_rx_engine_m4
135
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
136
# -- Loading package STANDARD
137
# -- Loading package TEXTIO
138
# -- Loading package std_logic_1164
139
# -- Compiling entity ctrl_fifo64x34fw
140
# -- Compiling architecture ctrl_fifo64x34fw_a of ctrl_fifo64x34fw
141
# -- Loading package std_logic_arith
142
# -- Loading package STD_LOGIC_UNSIGNED
143
# -- Loading entity fifo_generator_v8_1_bhv_as
144
# -- Loading entity fifo_generator_v8_1_bhv_ss
145
# -- Loading entity fifo_generator_v8_1_conv
146
# -- Loading entity fifo_generator_v8_1
147
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
148
# -- Loading package STANDARD
149
# -- Loading package TEXTIO
150
# -- Loading package std_logic_1164
151
# -- Loading package core64_type_pkg
152
# -- Compiling package core64_tx_engine_m4_pkg
153
# -- Loading package std_logic_arith
154
# -- Loading package STD_LOGIC_UNSIGNED
155
# -- Loading package VCOMPONENTS
156
# -- Compiling entity core64_tx_engine_m4
157
# -- Compiling architecture core64_tx_engine_m4 of core64_tx_engine_m4
158
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
159
# -- Loading package STANDARD
160
# -- Loading package TEXTIO
161
# -- Loading package std_logic_1164
162
# -- Loading package core64_type_pkg
163
# -- Compiling package core64_reg_access_pkg
164
# -- Compiling entity core64_reg_access
165
# -- Compiling architecture core64_reg_access of core64_reg_access
166
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
167
# -- Loading package STANDARD
168
# -- Loading package TEXTIO
169
# -- Loading package std_logic_1164
170
# -- Loading package core64_type_pkg
171
# -- Compiling package core64_pb_disp_pkg
172
# -- Compiling entity core64_pb_disp
173
# -- Compiling architecture core64_pb_disp of core64_pb_disp
174
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
175
# -- Loading package STANDARD
176
# -- Loading package TEXTIO
177
# -- Loading package std_logic_1164
178
# -- Compiling package core64_interrupt_pkg
179
# -- Loading package std_logic_arith
180
# -- Loading package STD_LOGIC_UNSIGNED
181
# -- Compiling entity core64_interrupt
182
# -- Compiling architecture core64_interrupt of core64_interrupt
183
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
184
# -- Loading package STANDARD
185
# -- Loading package TEXTIO
186
# -- Loading package std_logic_1164
187
# -- Loading package VCOMPONENTS
188
# -- Compiling package ctrl_dma_adr_pkg
189
# -- Loading package std_logic_arith
190
# -- Loading package STD_LOGIC_UNSIGNED
191
# -- Compiling entity ctrl_dma_adr
192
# -- Compiling architecture ctrl_dma_adr of ctrl_dma_adr
193
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
194
# -- Loading package STANDARD
195
# -- Loading package TEXTIO
196
# -- Loading package std_logic_1164
197
# -- Loading package core64_type_pkg
198
# -- Compiling package ctrl_dma_ext_cmd_pkg
199
# -- Loading package std_logic_arith
200
# -- Loading package STD_LOGIC_UNSIGNED
201
# -- Loading package VCOMPONENTS
202
# -- Loading package ctrl_dma_adr_pkg
203
# -- Compiling entity ctrl_dma_ext_cmd
204
# -- Compiling architecture ctrl_dma_ext_cmd of ctrl_dma_ext_cmd
205
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
206
# -- Loading package STANDARD
207
# -- Loading package TEXTIO
208
# -- Loading package std_logic_1164
209
# -- Compiling package ctrl_ext_descriptor_pkg
210
# -- Loading package std_logic_arith
211
# -- Loading package STD_LOGIC_UNSIGNED
212
# -- Loading package VCOMPONENTS
213
# -- Compiling entity ctrl_ext_descriptor
214
# -- Compiling architecture ctrl_ext_descriptor of ctrl_ext_descriptor
215
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
216
# -- Loading package STANDARD
217
# -- Loading package TEXTIO
218
# -- Loading package std_logic_1164
219
# -- Compiling package ctrl_ram_cmd_pb_pkg
220
# -- Loading package std_logic_arith
221
# -- Loading package STD_LOGIC_UNSIGNED
222
# -- Compiling entity ctrl_ram_cmd_pb
223
# -- Compiling architecture ctrl_ram_cmd_pb of ctrl_ram_cmd_pb
224
# ** Warning: [4] ../src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd_pb.vhd(179): (vcom-1207) An abstract literal and an identifier must have a separator between them.
225
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
226
# -- Loading package STANDARD
227
# -- Loading package TEXTIO
228
# -- Loading package std_logic_1164
229
# -- Compiling package ctrl_ram_cmd_pkg
230
# -- Loading package std_logic_arith
231
# -- Loading package STD_LOGIC_UNSIGNED
232
# -- Loading package VCOMPONENTS
233
# -- Loading package ctrl_ram_cmd_pb_pkg
234
# -- Compiling entity ctrl_ram_cmd
235
# -- Compiling architecture ctrl_ram_cmd of ctrl_ram_cmd
236
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
237
# -- Loading package STANDARD
238
# -- Loading package TEXTIO
239
# -- Loading package std_logic_1164
240
# -- Loading package core64_type_pkg
241
# -- Compiling package ctrl_ext_ram_pkg
242
# -- Loading package std_logic_arith
243
# -- Loading package STD_LOGIC_UNSIGNED
244
# -- Loading package VCOMPONENTS
245
# -- Loading package ctrl_ram_cmd_pkg
246
# -- Compiling entity ctrl_ext_ram
247
# -- Compiling architecture ctrl_ext_ram of ctrl_ext_ram
248
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
249
# -- Loading package STANDARD
250
# -- Loading package TEXTIO
251
# -- Loading package std_logic_1164
252
# -- Compiling package ctrl_main_pkg
253
# -- Loading package std_logic_arith
254
# -- Loading package STD_LOGIC_UNSIGNED
255
# -- Loading package VCOMPONENTS
256
# -- Compiling entity ctrl_main
257
# -- Compiling architecture ctrl_main of ctrl_main
258
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
259
# -- Loading package STANDARD
260
# -- Loading package TEXTIO
261
# -- Loading package std_logic_1164
262
# -- Loading package core64_type_pkg
263
# -- Compiling package block_pe_fifo_ext_pkg
264
# -- Loading package std_logic_arith
265
# -- Loading package STD_LOGIC_UNSIGNED
266
# -- Loading package ctrl_dma_ext_cmd_pkg
267
# -- Loading package ctrl_ext_descriptor_pkg
268
# -- Loading package ctrl_ext_ram_pkg
269
# -- Loading package ctrl_main_pkg
270
# -- Loading package VCOMPONENTS
271
# -- Compiling entity block_pe_fifo_ext
272
# -- Compiling architecture block_pe_fifo_ext of block_pe_fifo_ext
273
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
274
# -- Loading package STANDARD
275
# -- Loading package TEXTIO
276
# -- Loading package std_logic_1164
277
# -- Loading package std_logic_arith
278
# -- Loading package STD_LOGIC_UNSIGNED
279
# -- Loading package VCOMPONENTS
280
# -- Compiling entity pcie_bram_s6
281
# -- Compiling architecture rtl of pcie_bram_s6
282
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
283
# -- Loading package STANDARD
284
# -- Loading package TEXTIO
285
# -- Loading package std_logic_1164
286
# -- Loading package std_logic_arith
287
# -- Loading package STD_LOGIC_UNSIGNED
288
# -- Compiling entity pcie_brams_s6
289
# -- Compiling architecture rtl of pcie_brams_s6
290
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
291
# -- Loading package STANDARD
292
# -- Loading package TEXTIO
293
# -- Loading package std_logic_1164
294
# -- Loading package std_logic_arith
295
# -- Loading package STD_LOGIC_UNSIGNED
296
# -- Compiling entity pcie_bram_top_s6
297
# -- Compiling architecture rtl of pcie_bram_top_s6
298
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
299
# -- Loading package STANDARD
300
# -- Loading package TEXTIO
301
# -- Loading package std_logic_1164
302
# -- Loading package NUMERIC_STD
303
# -- Loading package VCOMPONENTS
304
# -- Compiling entity GTPA1_DUAL_WRAPPER_TILE
305
# -- Compiling architecture RTL of GTPA1_DUAL_WRAPPER_TILE
306
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
307
# -- Loading package STANDARD
308
# -- Loading package TEXTIO
309
# -- Loading package std_logic_1164
310
# -- Loading package NUMERIC_STD
311
# -- Loading package VCOMPONENTS
312
# -- Compiling entity GTPA1_DUAL_WRAPPER
313
# -- Compiling architecture RTL of GTPA1_DUAL_WRAPPER
314
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
315
# -- Loading package STANDARD
316
# -- Loading package TEXTIO
317
# -- Loading package std_logic_1164
318
# -- Loading package std_logic_arith
319
# -- Loading package STD_LOGIC_UNSIGNED
320
# -- Loading package NUMERIC_BIT
321
# -- Loading package VCOMPONENTS
322
# -- Loading package VITAL_Timing
323
# -- Loading package VITAL_Primitives
324
# -- Loading package VPKG
325
# -- Compiling entity cl_s6pcie_m2
326
# -- Compiling architecture rtl of cl_s6pcie_m2
327
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
328
# -- Loading package STANDARD
329
# -- Loading package TEXTIO
330
# -- Loading package std_logic_1164
331
# -- Loading package core64_type_pkg
332
# -- Compiling package pcie_core64_m6_pkg
333
# -- Loading package core64_rx_engine_m4_pkg
334
# -- Loading package core64_tx_engine_m4_pkg
335
# -- Loading package core64_reg_access_pkg
336
# -- Loading package core64_pb_disp_pkg
337
# -- Loading package block_pe_fifo_ext_pkg
338
# -- Loading package core64_interrupt_pkg
339
# -- Compiling entity pcie_core64_m6
340
# -- Compiling architecture pcie_core64_m6 of pcie_core64_m6
341
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
342
# -- Loading package STANDARD
343
# -- Loading package TEXTIO
344
# -- Loading package std_logic_1164
345
# -- Loading package core64_type_pkg
346
# -- Compiling package core64_pb_transaction_pkg
347
# -- Loading package std_logic_arith
348
# -- Loading package STD_LOGIC_UNSIGNED
349
# -- Loading package VCOMPONENTS
350
# -- Compiling entity core64_pb_transaction
351
# -- Compiling architecture core64_pb_transaction of core64_pb_transaction
352
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
353
# -- Loading package STANDARD
354
# -- Loading package TEXTIO
355
# -- Loading package std_logic_1164
356
# -- Compiling package host_pkg
357
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
358
# -- Loading package STANDARD
359
# -- Loading package TEXTIO
360
# -- Loading package std_logic_1164
361
# -- Loading package host_pkg
362
# -- Compiling package ctrl_ram16_v1_pkg
363
# -- Loading package VCOMPONENTS
364
# -- Compiling entity ctrl_ram16_v1
365
# -- Compiling architecture ctrl_ram16_v1 of ctrl_ram16_v1
366
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
367
# -- Loading package STANDARD
368
# -- Loading package TEXTIO
369
# -- Loading package std_logic_1164
370
# -- Loading package host_pkg
371
# -- Compiling package block_pe_main_pkg
372
# -- Loading package ctrl_ram16_v1_pkg
373
# -- Loading package VCOMPONENTS
374
# -- Compiling entity block_pe_main
375
# -- Compiling architecture block_pe_main of block_pe_main
376
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
377
# -- Loading package STANDARD
378
# -- Loading package TEXTIO
379
# -- Loading package std_logic_1164
380
# -- Compiling package pcie_core64_m7_pkg
381
# -- Loading package core64_type_pkg
382
# -- Loading package pcie_core64_m6_pkg
383
# -- Loading package core64_pb_transaction_pkg
384
# -- Loading package host_pkg
385
# -- Loading package block_pe_main_pkg
386
# -- Compiling entity pcie_core64_m7
387
# -- Compiling architecture pcie_core64_m7 of pcie_core64_m7
388
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
389
# -- Loading package STANDARD
390
# -- Loading package TEXTIO
391
# -- Loading package std_logic_1164
392
# -- Loading package adm2_pkg
393
# -- Compiling package cl_sp605_pkg
394
# -- Loading package std_logic_arith
395
# -- Loading package STD_LOGIC_UNSIGNED
396
# -- Loading package VCOMPONENTS
397
# -- Loading package pb_adm_ctrl_m2_pkg
398
# -- Loading package ctrl_blink_pkg
399
# -- Compiling entity cl_sp605
400
# -- Compiling architecture cl_sp605 of cl_sp605
401
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
402
# -- Loading package STANDARD
403
# -- Loading package TEXTIO
404
# -- Loading package std_logic_1164
405
# -- Loading package std_logic_arith
406
# -- Loading package STD_LOGIC_UNSIGNED
407
# -- Compiling package ctrl_start_v2_pkg
408
# -- Compiling entity ctrl_start_v2
409
# -- Compiling architecture ctrl_start_v2 of ctrl_start_v2
410
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
411
# -- Loading package STANDARD
412
# -- Loading package TEXTIO
413
# -- Loading package std_logic_1164
414
# -- Compiling package cl_test0_v4_pkg
415
# -- Compiling entity cl_test0_v4
416
# -- Compiling architecture cl_test0_v4 of cl_test0_v4
417
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
418
# -- Loading package STANDARD
419
# -- Loading package TEXTIO
420
# -- Loading package std_logic_1164
421
# -- Loading package std_logic_arith
422
# -- Loading package STD_LOGIC_UNSIGNED
423
# -- Compiling entity ctrl_thdac
424
# -- Compiling architecture ctrl_thdac of ctrl_thdac
425
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
426
# -- Loading package STANDARD
427
# -- Loading package TEXTIO
428
# -- Loading package std_logic_1164
429
# -- Loading package adm2_pkg
430
# -- Compiling package trd_main_v8_pkg
431
# -- Loading package std_logic_arith
432
# -- Loading package STD_LOGIC_UNSIGNED
433
# -- Loading package ctrl_start_v2_pkg
434
# -- Loading package cl_test0_v4_pkg
435
# -- Compiling entity trd_main_v8
436
# -- Compiling architecture trd_main_v8 of trd_main_v8
437
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
438
# -- Loading package STANDARD
439
# -- Loading package TEXTIO
440
# -- Loading package std_logic_1164
441
# -- Loading package adm2_pkg
442
# -- Compiling package trd_pio_std_v4_pkg
443
# -- Loading package std_logic_arith
444
# -- Loading package STD_LOGIC_UNSIGNED
445
# -- Compiling entity trd_pio_std_v4
446
# -- Compiling architecture trd_pio_std_v4 of trd_pio_std_v4
447
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
448
# -- Loading package STANDARD
449
# -- Loading package TEXTIO
450
# -- Loading package std_logic_1164
451
# -- Compiling entity ctrl_buft16
452
# -- Compiling architecture ctrl_buft16 of ctrl_buft16
453
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
454
# -- Loading package STANDARD
455
# -- Loading package TEXTIO
456
# -- Loading package std_logic_1164
457
# -- Compiling entity ctrl_buft64
458
# -- Compiling architecture ctrl_buft64 of ctrl_buft64
459
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
460
# -- Loading package STANDARD
461
# -- Loading package TEXTIO
462
# -- Loading package std_logic_1164
463
# -- Loading package adm2_pkg
464
# -- Compiling package cl_chn_v3_pkg
465
# -- Compiling entity cl_chn_v3
466
# -- Compiling architecture cl_chn_v3 of cl_chn_v3
467
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
468
# -- Loading package STANDARD
469
# -- Loading package TEXTIO
470
# -- Loading package std_logic_1164
471
# -- Loading package adm2_pkg
472
# -- Compiling package cl_chn_v4_pkg
473
# -- Compiling entity cl_chn_v4
474
# -- Compiling architecture cl_chn_v4 of cl_chn_v4
475
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
476
# -- Loading package STANDARD
477
# -- Loading package TEXTIO
478
# -- Loading package std_logic_1164
479
# -- Compiling entity cl_fifo_control_v2
480
# -- Compiling architecture cl_fifo_control_v2 of cl_fifo_control_v2
481
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
482
# -- Loading package STANDARD
483
# -- Loading package TEXTIO
484
# -- Loading package std_logic_1164
485
# -- Loading package adm2_pkg
486
# -- Compiling package cl_fifo1024x65_v5_pkg
487
# -- Compiling entity cl_fifo1024x65_v5
488
# -- Compiling architecture cl_fifo1024x65_v5 of cl_fifo1024x65_v5
489
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
490
# -- Loading package STANDARD
491
# -- Loading package TEXTIO
492
# -- Loading package std_logic_1164
493
# -- Compiling entity ctrl_fifo1024x65_v5
494
# -- Compiling architecture ctrl_fifo1024x65_v5_a of ctrl_fifo1024x65_v5
495
# -- Loading package iputils_std_logic_arith
496
# -- Loading package iputils_std_logic_unsigned
497
# -- Loading package iputils_conv
498
# -- Loading package iputils_misc
499
# -- Loading entity fifo_generator_v3_3_bhv_as
500
# -- Loading entity fifo_generator_v3_3_bhv_ss
501
# -- Loading entity fifo_generator_v3_3
502
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
503
# -- Loading package STANDARD
504
# -- Loading package TEXTIO
505
# -- Loading package std_logic_1164
506
# -- Loading package std_logic_arith
507
# -- Loading package STD_LOGIC_UNSIGNED
508
# -- Loading package adm2_pkg
509
# -- Loading package cl_chn_v3_pkg
510
# -- Compiling package trd_admdio64_out_v4_pkg
511
# -- Loading package VCOMPONENTS
512
# -- Loading package cl_chn_v4_pkg
513
# -- Loading package cl_fifo1024x65_v5_pkg
514
# -- Compiling entity trd_admdio64_out_v4
515
# -- Compiling architecture trd_admdio64_out_v4 of trd_admdio64_out_v4
516
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
517
# -- Loading package STANDARD
518
# -- Loading package TEXTIO
519
# -- Loading package std_logic_1164
520
# -- Loading package adm2_pkg
521
# -- Loading package cl_chn_v3_pkg
522
# -- Compiling package trd_admdio64_in_v6_pkg
523
# -- Loading package VCOMPONENTS
524
# -- Loading package cl_fifo1024x65_v5_pkg
525
# -- Compiling entity trd_admdio64_in_v6
526
# -- Compiling architecture trd_admdio64_in_v6 of trd_admdio64_in_v6
527
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
528
# -- Loading package STANDARD
529
# -- Loading package TEXTIO
530
# -- Loading package std_logic_1164
531
# -- Loading package adm2_pkg
532
# -- Compiling package cl_test_generate_pkg
533
# -- Loading package std_logic_arith
534
# -- Loading package STD_LOGIC_UNSIGNED
535
# -- Loading package VCOMPONENTS
536
# -- Compiling entity cl_test_generate
537
# -- Compiling architecture cl_test_generate of cl_test_generate
538
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
539
# -- Loading package STANDARD
540
# -- Loading package TEXTIO
541
# -- Loading package std_logic_1164
542
# -- Compiling package cl_test_check_pkg
543
# -- Loading package std_logic_arith
544
# -- Loading package STD_LOGIC_UNSIGNED
545
# -- Loading package VCOMPONENTS
546
# -- Loading package adm2_pkg
547
# -- Compiling entity cl_test_check
548
# -- Compiling architecture cl_test_check of cl_test_check
549
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
550
# -- Loading package STANDARD
551
# -- Loading package TEXTIO
552
# -- Loading package std_logic_1164
553
# -- Compiling entity ctrl_multiplier_v1_0
554
# -- Compiling architecture ctrl_multiplier_v1_0_a of ctrl_multiplier_v1_0
555
# -- Loading package std_logic_arith
556
# -- Loading package STD_LOGIC_SIGNED
557
# -- Loading package NUMERIC_STD
558
# -- Loading package prims_constants_v9_0
559
# -- Loading package prims_utils_v9_0
560
# -- Loading package pkg_baseblox_v9_0
561
# -- Loading package pkg_mult_gen_v9_0
562
# -- Loading entity mult_gen_v9_0
563
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
564
# -- Loading package STANDARD
565
# -- Loading package TEXTIO
566
# -- Loading package std_logic_1164
567
# -- Compiling package ctrl_freq_pkg
568
# -- Loading package std_logic_arith
569
# -- Loading package STD_LOGIC_UNSIGNED
570
# -- Compiling entity ctrl_freq
571
# -- Compiling architecture ctrl_freq of ctrl_freq
572
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
573
# -- Loading package STANDARD
574
# -- Loading package TEXTIO
575
# -- Loading package std_logic_1164
576
# -- Loading package adm2_pkg
577
# -- Loading package cl_chn_v3_pkg
578
# -- Compiling package trd_test_ctrl_m1_pkg
579
# -- Loading package VCOMPONENTS
580
# -- Loading package cl_test_generate_pkg
581
# -- Loading package cl_test_check_pkg
582
# -- Loading package ctrl_freq_pkg
583
# -- Compiling entity trd_test_ctrl_m1
584
# -- Compiling architecture trd_test_ctrl_m1 of trd_test_ctrl_m1
585
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
586
# -- Loading package STANDARD
587
# -- Loading package TEXTIO
588
# -- Loading package std_logic_1164
589
# -- Compiling package sp605_lx45t_core_pkg
590
# -- Loading package std_logic_arith
591
# -- Loading package STD_LOGIC_UNSIGNED
592
# -- Loading package VCOMPONENTS
593
# -- Loading package adm2_pkg
594
# -- Loading package cl_sp605_pkg
595
# -- Loading package trd_main_v8_pkg
596
# -- Loading package trd_pio_std_v4_pkg
597
# -- Loading package cl_chn_v3_pkg
598
# -- Loading package trd_admdio64_out_v4_pkg
599
# -- Loading package trd_admdio64_in_v6_pkg
600
# -- Loading package trd_test_ctrl_m1_pkg
601
# -- Compiling entity sp605_lx45t_core
602
# -- Compiling architecture sp605_lx45t_core of sp605_lx45t_core
603
# Compile TB:
604
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
605
# -- Loading package STANDARD
606
# -- Loading package TEXTIO
607
# -- Loading package std_logic_1164
608
# -- Loading package std_logic_arith
609
# -- Compiling package cmd_sim_pkg
610
# -- Compiling package body cmd_sim_pkg
611
# -- Loading package cmd_sim_pkg
612
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
613
# -- Loading package STANDARD
614
# -- Loading package TEXTIO
615
# -- Loading package std_logic_1164
616
# -- Loading package std_logic_arith
617
# -- Loading package STD_LOGIC_UNSIGNED
618
# -- Loading package cmd_sim_pkg
619
# -- Compiling package block_pkg
620
# -- Compiling package body block_pkg
621
# -- Loading package block_pkg
622
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
623
# -- Loading package STANDARD
624
# -- Loading package TEXTIO
625
# -- Loading package std_logic_1164
626
# -- Loading package std_logic_arith
627
# -- Loading package std_logic_textio
628
# -- Loading package STD_LOGIC_UNSIGNED
629
# -- Loading package cmd_sim_pkg
630
# -- Compiling package trd_pkg
631
# -- Compiling package body trd_pkg
632
# -- Loading package trd_pkg
633
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
634
# -- Loading package STANDARD
635
# -- Loading package TEXTIO
636
# -- Loading package std_logic_1164
637
# -- Loading package std_logic_arith
638
# -- Loading package STD_LOGIC_UNSIGNED
639
# -- Loading package std_logic_textio
640
# -- Loading package cmd_sim_pkg
641
# -- Loading package block_pkg
642
# -- Loading package trd_pkg
643
# -- Compiling package test_pkg
644
# -- Compiling package body test_pkg
645
# -- Loading package test_pkg
646
# ** Warning: ../src/testbench/test_pkg.vhd(86): (vcom-1236) Shared variables must be of a protected type.
647
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
648
# -- Loading package STANDARD
649
# -- Loading package TEXTIO
650
# -- Loading package std_logic_1164
651
# -- Loading package std_logic_arith
652
# -- Loading package STD_LOGIC_UNSIGNED
653
# -- Loading package std_logic_textio
654
# -- Compiling package root_memory_pkg
655
# ** Warning: ../src/pcie_src/pcie_sim/sim/root_memory_pkg.vhd(30): (vcom-1236) Shared variables must be of a protected type.
656
# ** Warning: ../src/pcie_src/pcie_sim/sim/root_memory_pkg.vhd(31): (vcom-1236) Shared variables must be of a protected type.
657
# ** Warning: ../src/pcie_src/pcie_sim/sim/root_memory_pkg.vhd(34): (vcom-1236) Shared variables must be of a protected type.
658
# -- Compiling package body root_memory_pkg
659
# -- Loading package root_memory_pkg
660
# ** Warning: ../src/pcie_src/pcie_sim/sim/root_memory_pkg.vhd(86): (vcom-1236) Shared variables must be of a protected type.
661
# ** Warning: ../src/pcie_src/pcie_sim/sim/root_memory_pkg.vhd(87): (vcom-1236) Shared variables must be of a protected type.
662
# ** Warning: ../src/pcie_src/pcie_sim/sim/root_memory_pkg.vhd(88): (vcom-1236) Shared variables must be of a protected type.
663
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
664
# -- Loading package STANDARD
665
# -- Loading package TEXTIO
666
# -- Loading package std_logic_1164
667
# -- Loading package std_logic_textio
668
# -- Loading package NUMERIC_STD
669
# -- Compiling package test_interface
670
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(99): (vcom-1236) Shared variables must be of a protected type.
671
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(100): (vcom-1236) Shared variables must be of a protected type.
672
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(101): (vcom-1236) Shared variables must be of a protected type.
673
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(102): (vcom-1236) Shared variables must be of a protected type.
674
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(103): (vcom-1236) Shared variables must be of a protected type.
675
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(104): (vcom-1236) Shared variables must be of a protected type.
676
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(105): (vcom-1236) Shared variables must be of a protected type.
677
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(106): (vcom-1236) Shared variables must be of a protected type.
678
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(107): (vcom-1236) Shared variables must be of a protected type.
679
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(108): (vcom-1236) Shared variables must be of a protected type.
680
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(109): (vcom-1236) Shared variables must be of a protected type.
681
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(110): (vcom-1236) Shared variables must be of a protected type.
682
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(111): (vcom-1236) Shared variables must be of a protected type.
683
# ** Warning: ../src/pcie_src/pcie_sim/dsport/test_interface.vhd(112): (vcom-1236) Shared variables must be of a protected type.
684
# -- Compiling package body test_interface
685
# -- Loading package test_interface
686
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
687
# -- Loading package STANDARD
688
# -- Loading package TEXTIO
689
# -- Loading package std_logic_1164
690
# -- Loading package std_logic_arith
691
# -- Loading package cmd_sim_pkg
692
# -- Compiling package pci_exp_usrapp_tx_m2_pkg
693
# -- Loading package STD_LOGIC_UNSIGNED
694
# -- Loading package std_logic_textio
695
# -- Loading package NUMERIC_STD
696
# -- Loading package test_interface
697
# -- Loading package root_memory_pkg
698
# -- Compiling entity pci_exp_usrapp_tx_m2
699
# -- Compiling architecture rtl of pci_exp_usrapp_tx_m2
700
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
701
# -- Loading package STANDARD
702
# -- Loading package TEXTIO
703
# -- Loading package std_logic_1164
704
# -- Compiling package pci_exp_usrapp_rx_m2_pkg
705
# -- Loading package std_logic_arith
706
# -- Loading package STD_LOGIC_UNSIGNED
707
# -- Loading package std_logic_textio
708
# -- Loading package NUMERIC_STD
709
# -- Loading package root_memory_pkg
710
# -- Compiling entity pci_exp_usrapp_rx_m2
711
# -- Compiling architecture rtl of pci_exp_usrapp_rx_m2
712
# ** Warning: ../src/pcie_src/pcie_sim/dsport/pci_exp_usrapp_rx_m2.vhd(179): (vcom-1236) Shared variables must be of a protected type.
713
# ** Warning: ../src/pcie_src/pcie_sim/dsport/pci_exp_usrapp_rx_m2.vhd(180): (vcom-1236) Shared variables must be of a protected type.
714
# ** Warning: ../src/pcie_src/pcie_sim/dsport/pci_exp_usrapp_rx_m2.vhd(181): (vcom-1236) Shared variables must be of a protected type.
715
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
716
# -- Loading package STANDARD
717
# -- Loading package TEXTIO
718
# -- Loading package std_logic_1164
719
# -- Loading package std_logic_arith
720
# -- Loading package STD_LOGIC_UNSIGNED
721
# -- Compiling entity pcie_reset_delay_v6
722
# -- Compiling architecture v6_pcie of pcie_reset_delay_v6
723
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
724
# -- Loading package STANDARD
725
# -- Loading package TEXTIO
726
# -- Loading package std_logic_1164
727
# -- Loading package VCOMPONENTS
728
# -- Compiling entity pcie_clocking_v6
729
# -- Compiling architecture v6_pcie of pcie_clocking_v6
730
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
731
# -- Loading package STANDARD
732
# -- Loading package TEXTIO
733
# -- Loading package std_logic_1164
734
# -- Compiling entity pcie_pipe_misc_v6
735
# -- Compiling architecture v6_pcie of pcie_pipe_misc_v6
736
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
737
# -- Loading package STANDARD
738
# -- Loading package TEXTIO
739
# -- Loading package std_logic_1164
740
# -- Compiling entity pcie_pipe_lane_v6
741
# -- Compiling architecture v6_pcie of pcie_pipe_lane_v6
742
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
743
# -- Loading package STANDARD
744
# -- Loading package TEXTIO
745
# -- Loading package std_logic_1164
746
# -- Compiling entity pcie_pipe_v6
747
# -- Compiling architecture v6_pcie of pcie_pipe_v6
748
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
749
# -- Loading package STANDARD
750
# -- Loading package TEXTIO
751
# -- Loading package std_logic_1164
752
# -- Loading package std_logic_arith
753
# -- Loading package STD_LOGIC_UNSIGNED
754
# -- Compiling entity GTX_DRP_CHANALIGN_FIX_3752_V6
755
# -- Compiling architecture v6_pcie of GTX_DRP_CHANALIGN_FIX_3752_V6
756
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
757
# -- Loading package STANDARD
758
# -- Loading package TEXTIO
759
# -- Loading package std_logic_1164
760
# -- Loading package std_logic_arith
761
# -- Loading package STD_LOGIC_UNSIGNED
762
# -- Loading package VCOMPONENTS
763
# -- Compiling entity GTX_RX_VALID_FILTER_V6
764
# -- Compiling architecture v6_pcie of GTX_RX_VALID_FILTER_V6
765
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
766
# -- Loading package STANDARD
767
# -- Loading package TEXTIO
768
# -- Loading package std_logic_1164
769
# -- Loading package std_logic_arith
770
# -- Loading package STD_LOGIC_UNSIGNED
771
# -- Compiling entity GTX_TX_SYNC_RATE_V6
772
# -- Compiling architecture v6_pcie of GTX_TX_SYNC_RATE_V6
773
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
774
# -- Loading package STANDARD
775
# -- Loading package TEXTIO
776
# -- Loading package std_logic_1164
777
# -- Loading package std_logic_arith
778
# -- Loading package STD_LOGIC_UNSIGNED
779
# -- Loading package VCOMPONENTS
780
# -- Compiling entity gtx_wrapper_v6
781
# -- Compiling architecture v6_pcie of gtx_wrapper_v6
782
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
783
# -- Loading package STANDARD
784
# -- Loading package TEXTIO
785
# -- Loading package std_logic_1164
786
# -- Loading package std_logic_arith
787
# -- Loading package STD_LOGIC_UNSIGNED
788
# -- Compiling entity pcie_gtx_v6
789
# -- Compiling architecture v6_pcie of pcie_gtx_v6
790
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
791
# -- Loading package STANDARD
792
# -- Loading package TEXTIO
793
# -- Loading package std_logic_1164
794
# -- Loading package std_logic_arith
795
# -- Loading package STD_LOGIC_UNSIGNED
796
# -- Loading package VCOMPONENTS
797
# -- Compiling entity pcie_bram_v6
798
# -- Compiling architecture v6_pcie of pcie_bram_v6
799
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
800
# -- Loading package STANDARD
801
# -- Loading package TEXTIO
802
# -- Loading package std_logic_1164
803
# -- Loading package std_logic_arith
804
# -- Loading package STD_LOGIC_UNSIGNED
805
# -- Compiling entity pcie_brams_v6
806
# -- Compiling architecture v6_pcie of pcie_brams_v6
807
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
808
# -- Loading package STANDARD
809
# -- Loading package TEXTIO
810
# -- Loading package std_logic_1164
811
# -- Loading package std_logic_arith
812
# -- Loading package STD_LOGIC_UNSIGNED
813
# -- Compiling entity pcie_bram_top_v6
814
# -- Compiling architecture v6_pcie of pcie_bram_top_v6
815
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
816
# -- Loading package STANDARD
817
# -- Loading package TEXTIO
818
# -- Loading package std_logic_1164
819
# -- Loading package std_logic_arith
820
# -- Loading package STD_LOGIC_UNSIGNED
821
# -- Compiling entity pcie_upconfig_fix_3451_v6
822
# -- Compiling architecture v6_pcie of pcie_upconfig_fix_3451_v6
823
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
824
# -- Loading package STANDARD
825
# -- Loading package TEXTIO
826
# -- Loading package std_logic_1164
827
# -- Loading package std_logic_arith
828
# -- Loading package STD_LOGIC_UNSIGNED
829
# -- Loading package VCOMPONENTS
830
# -- Compiling entity pcie_2_0_v6_rp
831
# -- Compiling architecture v6_pcie of pcie_2_0_v6_rp
832
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
833
# -- Loading package STANDARD
834
# -- Loading package TEXTIO
835
# -- Loading package std_logic_1164
836
# -- Loading package std_logic_arith
837
# -- Loading package STD_LOGIC_UNSIGNED
838
# -- Loading package VCOMPONENTS
839
# -- Compiling entity pcie_2_0_rport_v6
840
# -- Compiling architecture v6_pcie of pcie_2_0_rport_v6
841
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
842
# -- Loading package STANDARD
843
# -- Loading package TEXTIO
844
# -- Loading package std_logic_1164
845
# -- Loading package std_logic_textio
846
# -- Loading package NUMERIC_STD
847
# -- Loading package test_interface
848
# -- Compiling entity pci_exp_usrapp_cfg
849
# -- Compiling architecture rtl of pci_exp_usrapp_cfg
850
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
851
# -- Loading package STANDARD
852
# -- Loading package TEXTIO
853
# -- Loading package std_logic_1164
854
# -- Compiling entity pci_exp_usrapp_pl
855
# -- Compiling architecture rtl of pci_exp_usrapp_pl
856
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
857
# -- Loading package STANDARD
858
# -- Loading package TEXTIO
859
# -- Loading package std_logic_1164
860
# -- Loading package std_logic_arith
861
# -- Loading package cmd_sim_pkg
862
# -- Compiling package xilinx_pcie_rport_m2_pkg
863
# -- Loading package pci_exp_usrapp_tx_m2_pkg
864
# -- Loading package pci_exp_usrapp_rx_m2_pkg
865
# -- Compiling entity xilinx_pcie_rport_m2
866
# -- Compiling architecture rtl of xilinx_pcie_rport_m2
867
# Model Technology ModelSim SE vcom 10.0c Compiler 2011.07 Jul 21 2011
868
# -- Loading package STANDARD
869
# -- Loading package TEXTIO
870
# -- Loading package std_logic_1164
871
# -- Loading package std_logic_textio
872
# -- Loading package std_logic_arith
873
# -- Loading package cmd_sim_pkg
874
# -- Loading package STD_LOGIC_UNSIGNED
875
# -- Loading package block_pkg
876
# -- Loading package sp605_lx45t_core_pkg
877
# -- Loading package xilinx_pcie_rport_m2_pkg
878
# -- Loading package trd_pkg
879
# -- Loading package test_pkg
880
# -- Compiling entity stend_ambpex5_core_m2
881
# -- Compiling architecture stend_ambpex5_core_m2 of stend_ambpex5_core_m2
882
# vsim -t ps -novopt work.stend_ambpex5_core_m2
883
# Loading std.standard
884
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.stend_ambpex5_core_m2(stend_ambpex5_core_m2)
885
# Loading std.textio(body)
886
# Loading ieee.std_logic_1164(body)
887
# Loading ieee.std_logic_textio(body)
888
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cmd_sim_pkg(body)
889
# Loading ieee.std_logic_arith(body)
890
# Loading work.cmd_sim_pkg(body)
891
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.block_pkg(body)
892
# Loading ieee.std_logic_unsigned(body)
893
# Loading work.block_pkg(body)
894
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.sp605_lx45t_core_pkg
895
# Loading work.sp605_lx45t_core_pkg
896
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.xilinx_pcie_rport_m2_pkg
897
# Loading work.xilinx_pcie_rport_m2_pkg
898
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.test_pkg(body)
899
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_pkg(body)
900
# Loading work.trd_pkg(body)
901
# Loading work.test_pkg(body)
902
# Loading work.stend_ambpex5_core_m2(stend_ambpex5_core_m2)
903
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.sp605_lx45t_core(sp605_lx45t_core)
904
# Loading unisim.vcomponents
905
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.adm2_pkg
906
# Loading work.adm2_pkg
907
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_sp605_pkg
908
# Loading work.cl_sp605_pkg
909
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_main_v8_pkg
910
# Loading work.trd_main_v8_pkg
911
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_pio_std_v4_pkg
912
# Loading work.trd_pio_std_v4_pkg
913
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_admdio64_out_v4_pkg
914
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_chn_v3_pkg
915
# Loading work.cl_chn_v3_pkg
916
# Loading work.trd_admdio64_out_v4_pkg
917
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_admdio64_in_v6_pkg
918
# Loading work.trd_admdio64_in_v6_pkg
919
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_test_ctrl_m1_pkg
920
# Loading work.trd_test_ctrl_m1_pkg
921
# Loading work.sp605_lx45t_core(sp605_lx45t_core)
922
# Loading unisim.obuf_s_16(obuf_s_16_v)
923
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_sp605(cl_sp605)
924
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pb_adm_ctrl_m2_pkg
925
# Loading work.pb_adm_ctrl_m2_pkg
926
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_blink_pkg
927
# Loading work.ctrl_blink_pkg
928
# Loading work.cl_sp605(cl_sp605)
929
# Loading unisim.bufg(bufg_v)
930
# Loading unisim.ibufds(ibufds_v)
931
# Loading ieee.vital_timing(body)
932
# Loading unisim.ibuf(ibuf_v)
933
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_core64_m7(pcie_core64_m7)
934
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_type_pkg
935
# Loading work.core64_type_pkg
936
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_core64_m6_pkg
937
# Loading work.pcie_core64_m6_pkg
938
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_pb_transaction_pkg
939
# Loading work.core64_pb_transaction_pkg
940
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.block_pe_main_pkg
941
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.host_pkg
942
# Loading work.host_pkg
943
# Loading work.block_pe_main_pkg
944
# Loading work.pcie_core64_m7(pcie_core64_m7)
945
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_core64_m6(pcie_core64_m6)
946
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_rx_engine_m4_pkg
947
# Loading work.core64_rx_engine_m4_pkg
948
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_tx_engine_m4_pkg
949
# Loading work.core64_tx_engine_m4_pkg
950
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_reg_access_pkg
951
# Loading work.core64_reg_access_pkg
952
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_pb_disp_pkg
953
# Loading work.core64_pb_disp_pkg
954
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.block_pe_fifo_ext_pkg
955
# Loading work.block_pe_fifo_ext_pkg
956
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_interrupt_pkg
957
# Loading work.core64_interrupt_pkg
958
# Loading work.pcie_core64_m6(pcie_core64_m6)
959
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_s6pcie_m2(rtl)
960
# Loading ieee.numeric_bit(body)
961
# Loading ieee.vital_primitives(body)
962
# Loading unisim.vpkg(body)
963
# Loading work.cl_s6pcie_m2(rtl)
964
# Loading unisim.bufio2(bufio2_v)
965
# Loading ieee.std_logic_signed(body)
966
# Loading unisim.pll_base(pll_base_v)
967
# Loading ieee.numeric_std(body)
968
# Loading unisim.pll_adv(pll_adv_v)
969
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_bram_top_s6(rtl)
970
# Loading work.pcie_bram_top_s6(rtl)
971
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_brams_s6(rtl)
972
# Loading work.pcie_brams_s6(rtl)
973
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_bram_s6(rtl)
974
# Loading work.pcie_bram_s6(rtl)
975
# Loading unisim.ramb16bwer(ramb16bwer_v)
976
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.gtpa1_dual_wrapper(rtl)
977
# Loading work.gtpa1_dual_wrapper(rtl)
978
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.gtpa1_dual_wrapper_tile(rtl)
979
# Loading work.gtpa1_dual_wrapper_tile(rtl)
980
# Loading unisim.gtpa1_dual(gtpa1_dual_v)
981
# Loading secureip.GTPA1_DUAL_WRAP
982
# Loading secureip.B_GTPA1_DUAL
983
# Loading unisim.pcie_a1(pcie_a1_v)
984
# Loading secureip.PCIE_A1_WRAP
985
# Loading secureip.B_PCIE_A1
986
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_rx_engine_m4(core64_rx_engine_m4)
987
# Loading work.core64_rx_engine_m4(core64_rx_engine_m4)
988
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_fifo64x37st(ctrl_fifo64x37st_a)
989
# Loading work.ctrl_fifo64x37st(ctrl_fifo64x37st_a)
990
# Loading xilinxcorelib.fifo_generator_v8_1(behavioral)
991
# Loading xilinxcorelib.fifo_generator_v8_1_conv(behavioral)
992
# Loading xilinxcorelib.fifo_generator_v8_1_bhv_ss(behavioral)
993
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_tx_engine_m4(core64_tx_engine_m4)
994
# Loading work.core64_tx_engine_m4(core64_tx_engine_m4)
995
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_fifo64x34fw(ctrl_fifo64x34fw_a)
996
# Loading work.ctrl_fifo64x34fw(ctrl_fifo64x34fw_a)
997
# Loading xilinxcorelib.fifo_generator_v8_1_bhv_preload0(behavioral)
998
# Loading unisim.srlc32e(srlc32e_v)
999
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_reg_access(core64_reg_access)
1000
# Loading work.core64_reg_access(core64_reg_access)
1001
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_pb_disp(core64_pb_disp)
1002
# Loading work.core64_pb_disp(core64_pb_disp)
1003
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.block_pe_fifo_ext(block_pe_fifo_ext)
1004
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_dma_ext_cmd_pkg
1005
# Loading work.ctrl_dma_ext_cmd_pkg
1006
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ext_descriptor_pkg
1007
# Loading work.ctrl_ext_descriptor_pkg
1008
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ext_ram_pkg
1009
# Loading work.ctrl_ext_ram_pkg
1010
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_main_pkg
1011
# Loading work.ctrl_main_pkg
1012
# Loading work.block_pe_fifo_ext(block_pe_fifo_ext)
1013
# Loading unisim.ramb16_s36_s36(ramb16_s36_s36_v)
1014
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_main(ctrl_main)
1015
# Loading work.ctrl_main(ctrl_main)
1016
# Loading unisim.srl16(srl16_v)
1017
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_dma_ext_cmd(ctrl_dma_ext_cmd)
1018
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_dma_adr_pkg
1019
# Loading work.ctrl_dma_adr_pkg
1020
# Loading work.ctrl_dma_ext_cmd(ctrl_dma_ext_cmd)
1021
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_dma_adr(ctrl_dma_adr)
1022
# Loading work.ctrl_dma_adr(ctrl_dma_adr)
1023
# Loading unisim.ram16x1d(ram16x1d_v)
1024
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ext_descriptor(ctrl_ext_descriptor)
1025
# Loading work.ctrl_ext_descriptor(ctrl_ext_descriptor)
1026
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ext_ram(ctrl_ext_ram)
1027
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ram_cmd_pkg
1028
# Loading work.ctrl_ram_cmd_pkg
1029
# Loading work.ctrl_ext_ram(ctrl_ext_ram)
1030
# Loading unisim.ramb16_s9_s9(ramb16_s9_s9_v)
1031
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ram_cmd(ctrl_ram_cmd)
1032
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ram_cmd_pb_pkg
1033
# Loading work.ctrl_ram_cmd_pb_pkg
1034
# Loading work.ctrl_ram_cmd(ctrl_ram_cmd)
1035
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ram_cmd_pb(ctrl_ram_cmd_pb)
1036
# Loading work.ctrl_ram_cmd_pb(ctrl_ram_cmd_pb)
1037
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_interrupt(core64_interrupt)
1038
# Loading work.core64_interrupt(core64_interrupt)
1039
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.core64_pb_transaction(core64_pb_transaction)
1040
# Loading work.core64_pb_transaction(core64_pb_transaction)
1041
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.block_pe_main(block_pe_main)
1042
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ram16_v1_pkg
1043
# Loading work.ctrl_ram16_v1_pkg
1044
# Loading work.block_pe_main(block_pe_main)
1045
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_ram16_v1(ctrl_ram16_v1)
1046
# Loading work.ctrl_ram16_v1(ctrl_ram16_v1)
1047
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_blink(ctrl_blink)
1048
# Loading work.ctrl_blink(ctrl_blink)
1049
# Loading unisim.fd(fd_v)
1050
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pb_adm_ctrl_m2(pb_adm_ctrl_m2)
1051
# Loading work.pb_adm_ctrl_m2(pb_adm_ctrl_m2)
1052
# Loading unisim.ramb16_s18(ramb16_s18_v)
1053
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_adsp_v2_decode_data_cs(ctrl_adsp_v2_decode_data_cs)
1054
# Loading work.ctrl_adsp_v2_decode_data_cs(ctrl_adsp_v2_decode_data_cs)
1055
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_adsp_v2_decode_data_we(ctrl_adsp_v2_decode_data_we)
1056
# Loading work.ctrl_adsp_v2_decode_data_we(ctrl_adsp_v2_decode_data_we)
1057
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_adsp_v2_decode_ram_cs(ctrl_adsp_v2_decode_ram_cs)
1058
# Loading work.ctrl_adsp_v2_decode_ram_cs(ctrl_adsp_v2_decode_ram_cs)
1059
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_adsp_v2_decode_cmd_adr_cs(ctrl_adsp_v2_decode_cmd_adr_cs)
1060
# Loading work.ctrl_adsp_v2_decode_cmd_adr_cs(ctrl_adsp_v2_decode_cmd_adr_cs)
1061
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_mux8x48(ctrl_mux8x48_a)
1062
# Loading xilinxcorelib.prims_constants_v6_0
1063
# Loading xilinxcorelib.prims_utils_v6_0(body)
1064
# Loading xilinxcorelib.c_reg_fd_v6_0_comp
1065
# Loading work.ctrl_mux8x48(ctrl_mux8x48_a)
1066
# Loading xilinxcorelib.c_mux_bus_v6_0(behavioral)
1067
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_mux16x16(ctrl_mux16x16_a)
1068
# Loading work.ctrl_mux16x16(ctrl_mux16x16_a)
1069
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_mux8x16r(ctrl_mux8x16r_a)
1070
# Loading work.ctrl_mux8x16r(ctrl_mux8x16r_a)
1071
# Loading xilinxcorelib.c_reg_fd_v6_0(behavioral)
1072
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_main_v8(trd_main_v8)
1073
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_start_v2_pkg
1074
# Loading work.ctrl_start_v2_pkg
1075
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_test0_v4_pkg
1076
# Loading work.cl_test0_v4_pkg
1077
# Loading work.trd_main_v8(trd_main_v8)
1078
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_test0_v4(cl_test0_v4)
1079
# Loading work.cl_test0_v4(cl_test0_v4)
1080
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_start_v2(ctrl_start_v2)
1081
# Loading work.ctrl_start_v2(ctrl_start_v2)
1082
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_thdac(ctrl_thdac)
1083
# Loading work.ctrl_thdac(ctrl_thdac)
1084
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_admdio64_in_v6(trd_admdio64_in_v6)
1085
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_fifo1024x65_v5_pkg
1086
# Loading work.cl_fifo1024x65_v5_pkg
1087
# Loading work.trd_admdio64_in_v6(trd_admdio64_in_v6)
1088
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_buft16(ctrl_buft16)
1089
# Loading work.ctrl_buft16(ctrl_buft16)
1090
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_buft64(ctrl_buft64)
1091
# Loading work.ctrl_buft64(ctrl_buft64)
1092
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_chn_v3(cl_chn_v3)
1093
# Loading work.cl_chn_v3(cl_chn_v3)
1094
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_fifo1024x65_v5(cl_fifo1024x65_v5)
1095
# Loading work.cl_fifo1024x65_v5(cl_fifo1024x65_v5)
1096
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_fifo1024x65_v5(ctrl_fifo1024x65_v5_a)
1097
# Loading xilinxcorelib.iputils_std_logic_arith(body)
1098
# Loading xilinxcorelib.iputils_std_logic_unsigned(body)
1099
# Loading xilinxcorelib.iputils_conv(body)
1100
# Loading xilinxcorelib.iputils_misc(body)
1101
# Loading work.ctrl_fifo1024x65_v5(ctrl_fifo1024x65_v5_a)
1102
# Loading xilinxcorelib.fifo_generator_v3_3(behavioral)
1103
# Loading xilinxcorelib.fifo_generator_v3_3_bhv_as(behavioral)
1104
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_fifo_control_v2(cl_fifo_control_v2)
1105
# Loading work.cl_fifo_control_v2(cl_fifo_control_v2)
1106
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_admdio64_out_v4(trd_admdio64_out_v4)
1107
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_chn_v4_pkg
1108
# Loading work.cl_chn_v4_pkg
1109
# Loading work.trd_admdio64_out_v4(trd_admdio64_out_v4)
1110
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_chn_v4(cl_chn_v4)
1111
# Loading work.cl_chn_v4(cl_chn_v4)
1112
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.trd_test_ctrl_m1(trd_test_ctrl_m1)
1113
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_test_generate_pkg
1114
# Loading work.cl_test_generate_pkg
1115
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_test_check_pkg
1116
# Loading work.cl_test_check_pkg
1117
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_freq_pkg
1118
# Loading work.ctrl_freq_pkg
1119
# Loading work.trd_test_ctrl_m1(trd_test_ctrl_m1)
1120
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_test_generate(cl_test_generate)
1121
# Loading work.cl_test_generate(cl_test_generate)
1122
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.cl_test_check(cl_test_check)
1123
# Loading work.cl_test_check(cl_test_check)
1124
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_freq(ctrl_freq)
1125
# Loading work.ctrl_freq(ctrl_freq)
1126
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.ctrl_multiplier_v1_0(ctrl_multiplier_v1_0_a)
1127
# Loading xilinxcorelib.prims_constants_v9_0
1128
# Loading xilinxcorelib.prims_utils_v9_0(body)
1129
# Loading xilinxcorelib.pkg_baseblox_v9_0(body)
1130
# Loading xilinxcorelib.pkg_mult_gen_v9_0(body)
1131
# Loading work.ctrl_multiplier_v1_0(ctrl_multiplier_v1_0_a)
1132
# Loading xilinxcorelib.mult_gen_v9_0(behavioral)
1133
# ** Note: returned a simple delay of 1
1134
#    Time: 0 ps  Iteration: 0  Instance: /stend_ambpex5_core_m2/amb/test_ctrl/fr0/x_mult/U0 File: C:/Xilinx/13.2/ISE_DS/ISE/vhdl/src/XilinxCoreLib/mult_gen_v9_0.vhd
1135
# ** Note: returned a simple delay of 1
1136
#    Time: 0 ps  Iteration: 0  Instance: /stend_ambpex5_core_m2/amb/test_ctrl/fr1/x_mult/U0 File: C:/Xilinx/13.2/ISE_DS/ISE/vhdl/src/XilinxCoreLib/mult_gen_v9_0.vhd
1137
# ** Note: returned a simple delay of 1
1138
#    Time: 0 ps  Iteration: 0  Instance: /stend_ambpex5_core_m2/amb/test_ctrl/fr2/x_mult/U0 File: C:/Xilinx/13.2/ISE_DS/ISE/vhdl/src/XilinxCoreLib/mult_gen_v9_0.vhd
1139
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.xilinx_pcie_rport_m2(rtl)
1140
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pci_exp_usrapp_tx_m2_pkg
1141
# Loading work.pci_exp_usrapp_tx_m2_pkg
1142
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pci_exp_usrapp_rx_m2_pkg
1143
# Loading work.pci_exp_usrapp_rx_m2_pkg
1144
# Loading work.xilinx_pcie_rport_m2(rtl)
1145
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_2_0_rport_v6(v6_pcie)
1146
# Loading work.pcie_2_0_rport_v6(v6_pcie)
1147
# Loading unisim.fdcp(fdcp_v)
1148
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_reset_delay_v6(v6_pcie)
1149
# Loading work.pcie_reset_delay_v6(v6_pcie)
1150
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_clocking_v6(v6_pcie)
1151
# Loading work.pcie_clocking_v6(v6_pcie)
1152
# Loading unisim.mmcm_adv(mmcm_adv_v)
1153
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_2_0_v6_rp(v6_pcie)
1154
# Loading work.pcie_2_0_v6_rp(v6_pcie)
1155
# Loading unisim.pcie_2_0(pcie_2_0_v)
1156
# Loading secureip.PCIE_2_0_WRAP
1157
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_pipe_v6(v6_pcie)
1158
# Loading work.pcie_pipe_v6(v6_pcie)
1159
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_pipe_misc_v6(v6_pcie)
1160
# Loading work.pcie_pipe_misc_v6(v6_pcie)
1161
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_pipe_lane_v6(v6_pcie)
1162
# Loading work.pcie_pipe_lane_v6(v6_pcie)
1163
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_gtx_v6(v6_pcie)
1164
# Loading work.pcie_gtx_v6(v6_pcie)
1165
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.gtx_wrapper_v6(v6_pcie)
1166
# Loading work.gtx_wrapper_v6(v6_pcie)
1167
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.gtx_drp_chanalign_fix_3752_v6(v6_pcie)
1168
# Loading work.gtx_drp_chanalign_fix_3752_v6(v6_pcie)
1169
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.gtx_rx_valid_filter_v6(v6_pcie)
1170
# Loading work.gtx_rx_valid_filter_v6(v6_pcie)
1171
# Loading unisim.srl16e(srl16e_v)
1172
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.gtx_tx_sync_rate_v6(v6_pcie)
1173
# Loading work.gtx_tx_sync_rate_v6(v6_pcie)
1174
# Loading unisim.gtxe1(gtxe1_v)
1175
# Loading secureip.GTXE1_WRAP
1176
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_bram_top_v6(v6_pcie)
1177
# Loading work.pcie_bram_top_v6(v6_pcie)
1178
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_brams_v6(v6_pcie)
1179
# Loading work.pcie_brams_v6(v6_pcie)
1180
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_bram_v6(v6_pcie)
1181
# Loading work.pcie_bram_v6(v6_pcie)
1182
# Loading unisim.ramb36(ramb36_v)
1183
# Loading unisim.aramb36_internal(aramb36_internal_v)
1184
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pcie_upconfig_fix_3451_v6(v6_pcie)
1185
# Loading work.pcie_upconfig_fix_3451_v6(v6_pcie)
1186
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pci_exp_usrapp_cfg(rtl)
1187
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.test_interface(body)
1188
# Loading work.test_interface(body)
1189
# Loading work.pci_exp_usrapp_cfg(rtl)
1190
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pci_exp_usrapp_rx_m2(rtl)
1191
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.root_memory_pkg(body)
1192
# Loading work.root_memory_pkg(body)
1193
# Loading work.pci_exp_usrapp_rx_m2(rtl)
1194
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pci_exp_usrapp_tx_m2(rtl)
1195
# Loading work.pci_exp_usrapp_tx_m2(rtl)
1196
# Refreshing D:\TMP\08\SVN\sp605_lx45t_core\sim\work.pci_exp_usrapp_pl(rtl)
1197
# Loading work.pci_exp_usrapp_pl(rtl)
1198
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/rx/fifo0_reg/U0/gconvfifo/inst_conv_fifo/DATA_COUNT(5 downto 0), and its initial value is not used.
1199
# Therefore, simulation behavior may occur that is not in compliance with
1200
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/rx/fifo0_reg/U0/DATA_COUNT(5 downto 0).
1201
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/rx/fifo1_cmpl/U0/gconvfifo/inst_conv_fifo/DATA_COUNT(5 downto 0), and its initial value is not used.
1202
# Therefore, simulation behavior may occur that is not in compliance with
1203
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/rx/fifo1_cmpl/U0/DATA_COUNT(5 downto 0).
1204
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/fifo0_reg/U0/gconvfifo/inst_conv_fifo/gen_ss/fgss/VALID, and its initial value is not used.
1205
# Therefore, simulation behavior may occur that is not in compliance with
1206
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/fifo0_reg/U0/gconvfifo/inst_conv_fifo/valid_fifo_out.
1207
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/fifo0_reg/U0/gconvfifo/inst_conv_fifo/gen_ss/fgss/UNDERFLOW, and its initial value is not used.
1208
# Therefore, simulation behavior may occur that is not in compliance with
1209
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/fifo0_reg/U0/gconvfifo/inst_conv_fifo/underflow_fifo_out.
1210
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/fifo0_reg/U0/gconvfifo/inst_conv_fifo/DATA_COUNT(6 downto 0), and its initial value is not used.
1211
# Therefore, simulation behavior may occur that is not in compliance with
1212
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/fifo0_reg/U0/DATA_COUNT(6 downto 0).
1213
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/trn_tx.trn_td(63 downto 32), and its initial value is not used.
1214
# Therefore, simulation behavior may occur that is not in compliance with
1215
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/trn_tx.trn_td(63 downto 32).
1216
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/tx/trn_tx.trn_trem_n(7 downto 0), and its initial value is not used.
1217
# Therefore, simulation behavior may occur that is not in compliance with
1218
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/core/trn_tx.trn_trem_n(7 downto 0).
1219
# ** Warning: (vsim-8683) Uninitialized out port /stend_ambpex5_core_m2/amb/amb/gen_syn/pcie/main/pb_reset has no driver.
1220
# This port will contribute value (U) to the signal network.
1221
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).data_we, and its initial value is not used.
1222
# Therefore, simulation behavior may occur that is not in compliance with
1223
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).data_we.
1224
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).cmd_data_we, and its initial value is not used.
1225
# Therefore, simulation behavior may occur that is not in compliance with
1226
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).cmd_data_we.
1227
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).status_cs, and its initial value is not used.
1228
# Therefore, simulation behavior may occur that is not in compliance with
1229
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).status_cs.
1230
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).data_cs, and its initial value is not used.
1231
# Therefore, simulation behavior may occur that is not in compliance with
1232
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).data_cs.
1233
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).cmd_data_cs, and its initial value is not used.
1234
# Therefore, simulation behavior may occur that is not in compliance with
1235
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).cmd_data_cs.
1236
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).cmd_adr_we, and its initial value is not used.
1237
# Therefore, simulation behavior may occur that is not in compliance with
1238
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).cmd_adr_we.
1239
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).data_oe, and its initial value is not used.
1240
# Therefore, simulation behavior may occur that is not in compliance with
1241
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).data_oe.
1242
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(15).adr(9 downto 0), and its initial value is not used.
1243
# Therefore, simulation behavior may occur that is not in compliance with
1244
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(15).adr(9 downto 0).
1245
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).data_we, and its initial value is not used.
1246
# Therefore, simulation behavior may occur that is not in compliance with
1247
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).data_we.
1248
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).cmd_data_we, and its initial value is not used.
1249
# Therefore, simulation behavior may occur that is not in compliance with
1250
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).cmd_data_we.
1251
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).status_cs, and its initial value is not used.
1252
# Therefore, simulation behavior may occur that is not in compliance with
1253
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).status_cs.
1254
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).data_cs, and its initial value is not used.
1255
# Therefore, simulation behavior may occur that is not in compliance with
1256
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).data_cs.
1257
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).cmd_data_cs, and its initial value is not used.
1258
# Therefore, simulation behavior may occur that is not in compliance with
1259
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).cmd_data_cs.
1260
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).cmd_adr_we, and its initial value is not used.
1261
# Therefore, simulation behavior may occur that is not in compliance with
1262
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).cmd_adr_we.
1263
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).data_oe, and its initial value is not used.
1264
# Therefore, simulation behavior may occur that is not in compliance with
1265
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).data_oe.
1266
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(14).adr(9 downto 0), and its initial value is not used.
1267
# Therefore, simulation behavior may occur that is not in compliance with
1268
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(14).adr(9 downto 0).
1269
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).data_we, and its initial value is not used.
1270
# Therefore, simulation behavior may occur that is not in compliance with
1271
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).data_we.
1272
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).cmd_data_we, and its initial value is not used.
1273
# Therefore, simulation behavior may occur that is not in compliance with
1274
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).cmd_data_we.
1275
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).status_cs, and its initial value is not used.
1276
# Therefore, simulation behavior may occur that is not in compliance with
1277
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).status_cs.
1278
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).data_cs, and its initial value is not used.
1279
# Therefore, simulation behavior may occur that is not in compliance with
1280
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).data_cs.
1281
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).cmd_data_cs, and its initial value is not used.
1282
# Therefore, simulation behavior may occur that is not in compliance with
1283
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).cmd_data_cs.
1284
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).cmd_adr_we, and its initial value is not used.
1285
# Therefore, simulation behavior may occur that is not in compliance with
1286
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).cmd_adr_we.
1287
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).data_oe, and its initial value is not used.
1288
# Therefore, simulation behavior may occur that is not in compliance with
1289
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).data_oe.
1290
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(13).adr(9 downto 0), and its initial value is not used.
1291
# Therefore, simulation behavior may occur that is not in compliance with
1292
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(13).adr(9 downto 0).
1293
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).data_we, and its initial value is not used.
1294
# Therefore, simulation behavior may occur that is not in compliance with
1295
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).data_we.
1296
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).cmd_data_we, and its initial value is not used.
1297
# Therefore, simulation behavior may occur that is not in compliance with
1298
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).cmd_data_we.
1299
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).status_cs, and its initial value is not used.
1300
# Therefore, simulation behavior may occur that is not in compliance with
1301
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).status_cs.
1302
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).data_cs, and its initial value is not used.
1303
# Therefore, simulation behavior may occur that is not in compliance with
1304
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).data_cs.
1305
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).cmd_data_cs, and its initial value is not used.
1306
# Therefore, simulation behavior may occur that is not in compliance with
1307
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).cmd_data_cs.
1308
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).cmd_adr_we, and its initial value is not used.
1309
# Therefore, simulation behavior may occur that is not in compliance with
1310
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).cmd_adr_we.
1311
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).data_oe, and its initial value is not used.
1312
# Therefore, simulation behavior may occur that is not in compliance with
1313
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).data_oe.
1314
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(12).adr(9 downto 0), and its initial value is not used.
1315
# Therefore, simulation behavior may occur that is not in compliance with
1316
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(12).adr(9 downto 0).
1317
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).data_we, and its initial value is not used.
1318
# Therefore, simulation behavior may occur that is not in compliance with
1319
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).data_we.
1320
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).cmd_data_we, and its initial value is not used.
1321
# Therefore, simulation behavior may occur that is not in compliance with
1322
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).cmd_data_we.
1323
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).status_cs, and its initial value is not used.
1324
# Therefore, simulation behavior may occur that is not in compliance with
1325
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).status_cs.
1326
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).data_cs, and its initial value is not used.
1327
# Therefore, simulation behavior may occur that is not in compliance with
1328
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).data_cs.
1329
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).cmd_data_cs, and its initial value is not used.
1330
# Therefore, simulation behavior may occur that is not in compliance with
1331
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).cmd_data_cs.
1332
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).cmd_adr_we, and its initial value is not used.
1333
# Therefore, simulation behavior may occur that is not in compliance with
1334
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).cmd_adr_we.
1335
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).data_oe, and its initial value is not used.
1336
# Therefore, simulation behavior may occur that is not in compliance with
1337
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).data_oe.
1338
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(11).adr(9 downto 0), and its initial value is not used.
1339
# Therefore, simulation behavior may occur that is not in compliance with
1340
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(11).adr(9 downto 0).
1341
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).data_we, and its initial value is not used.
1342
# Therefore, simulation behavior may occur that is not in compliance with
1343
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).data_we.
1344
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).cmd_data_we, and its initial value is not used.
1345
# Therefore, simulation behavior may occur that is not in compliance with
1346
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).cmd_data_we.
1347
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).status_cs, and its initial value is not used.
1348
# Therefore, simulation behavior may occur that is not in compliance with
1349
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).status_cs.
1350
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).data_cs, and its initial value is not used.
1351
# Therefore, simulation behavior may occur that is not in compliance with
1352
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).data_cs.
1353
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).cmd_data_cs, and its initial value is not used.
1354
# Therefore, simulation behavior may occur that is not in compliance with
1355
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).cmd_data_cs.
1356
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).cmd_adr_we, and its initial value is not used.
1357
# Therefore, simulation behavior may occur that is not in compliance with
1358
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).cmd_adr_we.
1359
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).data_oe, and its initial value is not used.
1360
# Therefore, simulation behavior may occur that is not in compliance with
1361
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).data_oe.
1362
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(10).adr(9 downto 0), and its initial value is not used.
1363
# Therefore, simulation behavior may occur that is not in compliance with
1364
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(10).adr(9 downto 0).
1365
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).data_we, and its initial value is not used.
1366
# Therefore, simulation behavior may occur that is not in compliance with
1367
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).data_we.
1368
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).cmd_data_we, and its initial value is not used.
1369
# Therefore, simulation behavior may occur that is not in compliance with
1370
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).cmd_data_we.
1371
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).status_cs, and its initial value is not used.
1372
# Therefore, simulation behavior may occur that is not in compliance with
1373
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).status_cs.
1374
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).data_cs, and its initial value is not used.
1375
# Therefore, simulation behavior may occur that is not in compliance with
1376
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).data_cs.
1377
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).cmd_data_cs, and its initial value is not used.
1378
# Therefore, simulation behavior may occur that is not in compliance with
1379
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).cmd_data_cs.
1380
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).cmd_adr_we, and its initial value is not used.
1381
# Therefore, simulation behavior may occur that is not in compliance with
1382
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).cmd_adr_we.
1383
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).data_oe, and its initial value is not used.
1384
# Therefore, simulation behavior may occur that is not in compliance with
1385
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).data_oe.
1386
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(9).adr(9 downto 0), and its initial value is not used.
1387
# Therefore, simulation behavior may occur that is not in compliance with
1388
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(9).adr(9 downto 0).
1389
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).data_we, and its initial value is not used.
1390
# Therefore, simulation behavior may occur that is not in compliance with
1391
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).data_we.
1392
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).cmd_data_we, and its initial value is not used.
1393
# Therefore, simulation behavior may occur that is not in compliance with
1394
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).cmd_data_we.
1395
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).status_cs, and its initial value is not used.
1396
# Therefore, simulation behavior may occur that is not in compliance with
1397
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).status_cs.
1398
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).data_cs, and its initial value is not used.
1399
# Therefore, simulation behavior may occur that is not in compliance with
1400
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).data_cs.
1401
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).cmd_data_cs, and its initial value is not used.
1402
# Therefore, simulation behavior may occur that is not in compliance with
1403
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).cmd_data_cs.
1404
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).cmd_adr_we, and its initial value is not used.
1405
# Therefore, simulation behavior may occur that is not in compliance with
1406
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).cmd_adr_we.
1407
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).data_oe, and its initial value is not used.
1408
# Therefore, simulation behavior may occur that is not in compliance with
1409
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).data_oe.
1410
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/gen_syn/ad/trd_host_cmd(8).adr(9 downto 0), and its initial value is not used.
1411
# Therefore, simulation behavior may occur that is not in compliance with
1412
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/amb/trdi_host_cmd(8).adr(9 downto 0).
1413
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_adr(15 downto 7), and its initial value is not used.
1414
# Therefore, simulation behavior may occur that is not in compliance with
1415
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_adr(15 downto 7).
1416
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(15)(63 downto 0), and its initial value is not used.
1417
# Therefore, simulation behavior may occur that is not in compliance with
1418
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(15)(63 downto 0).
1419
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(14)(63 downto 0), and its initial value is not used.
1420
# Therefore, simulation behavior may occur that is not in compliance with
1421
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(14)(63 downto 0).
1422
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(13)(63 downto 0), and its initial value is not used.
1423
# Therefore, simulation behavior may occur that is not in compliance with
1424
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(13)(63 downto 0).
1425
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(12)(63 downto 0), and its initial value is not used.
1426
# Therefore, simulation behavior may occur that is not in compliance with
1427
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(12)(63 downto 0).
1428
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(11)(63 downto 0), and its initial value is not used.
1429
# Therefore, simulation behavior may occur that is not in compliance with
1430
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(11)(63 downto 0).
1431
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(10)(63 downto 0), and its initial value is not used.
1432
# Therefore, simulation behavior may occur that is not in compliance with
1433
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(10)(63 downto 0).
1434
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(9)(63 downto 0), and its initial value is not used.
1435
# Therefore, simulation behavior may occur that is not in compliance with
1436
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(9)(63 downto 0).
1437
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_data(8)(63 downto 0), and its initial value is not used.
1438
# Therefore, simulation behavior may occur that is not in compliance with
1439
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_data(8)(63 downto 0).
1440
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(15)(15 downto 0), and its initial value is not used.
1441
# Therefore, simulation behavior may occur that is not in compliance with
1442
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(15)(15 downto 0).
1443
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(14)(15 downto 0), and its initial value is not used.
1444
# Therefore, simulation behavior may occur that is not in compliance with
1445
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(14)(15 downto 0).
1446
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(13)(15 downto 0), and its initial value is not used.
1447
# Therefore, simulation behavior may occur that is not in compliance with
1448
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(13)(15 downto 0).
1449
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(12)(15 downto 0), and its initial value is not used.
1450
# Therefore, simulation behavior may occur that is not in compliance with
1451
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(12)(15 downto 0).
1452
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(11)(15 downto 0), and its initial value is not used.
1453
# Therefore, simulation behavior may occur that is not in compliance with
1454
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(11)(15 downto 0).
1455
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(10)(15 downto 0), and its initial value is not used.
1456
# Therefore, simulation behavior may occur that is not in compliance with
1457
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(10)(15 downto 0).
1458
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(9)(15 downto 0), and its initial value is not used.
1459
# Therefore, simulation behavior may occur that is not in compliance with
1460
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(9)(15 downto 0).
1461
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd_data(8)(15 downto 0), and its initial value is not used.
1462
# Therefore, simulation behavior may occur that is not in compliance with
1463
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd_data(8)(15 downto 0).
1464
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).data_we, and its initial value is not used.
1465
# Therefore, simulation behavior may occur that is not in compliance with
1466
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).data_we.
1467
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).cmd_data_we, and its initial value is not used.
1468
# Therefore, simulation behavior may occur that is not in compliance with
1469
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).cmd_data_we.
1470
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).status_cs, and its initial value is not used.
1471
# Therefore, simulation behavior may occur that is not in compliance with
1472
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).status_cs.
1473
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).data_cs, and its initial value is not used.
1474
# Therefore, simulation behavior may occur that is not in compliance with
1475
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).data_cs.
1476
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).cmd_data_cs, and its initial value is not used.
1477
# Therefore, simulation behavior may occur that is not in compliance with
1478
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).cmd_data_cs.
1479
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).cmd_adr_we, and its initial value is not used.
1480
# Therefore, simulation behavior may occur that is not in compliance with
1481
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).cmd_adr_we.
1482
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).data_oe, and its initial value is not used.
1483
# Therefore, simulation behavior may occur that is not in compliance with
1484
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).data_oe.
1485
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(15).adr(9 downto 0), and its initial value is not used.
1486
# Therefore, simulation behavior may occur that is not in compliance with
1487
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(15).adr(9 downto 0).
1488
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).data_we, and its initial value is not used.
1489
# Therefore, simulation behavior may occur that is not in compliance with
1490
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).data_we.
1491
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).cmd_data_we, and its initial value is not used.
1492
# Therefore, simulation behavior may occur that is not in compliance with
1493
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).cmd_data_we.
1494
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).status_cs, and its initial value is not used.
1495
# Therefore, simulation behavior may occur that is not in compliance with
1496
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).status_cs.
1497
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).data_cs, and its initial value is not used.
1498
# Therefore, simulation behavior may occur that is not in compliance with
1499
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).data_cs.
1500
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).cmd_data_cs, and its initial value is not used.
1501
# Therefore, simulation behavior may occur that is not in compliance with
1502
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).cmd_data_cs.
1503
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).cmd_adr_we, and its initial value is not used.
1504
# Therefore, simulation behavior may occur that is not in compliance with
1505
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).cmd_adr_we.
1506
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).data_oe, and its initial value is not used.
1507
# Therefore, simulation behavior may occur that is not in compliance with
1508
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).data_oe.
1509
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(14).adr(9 downto 0), and its initial value is not used.
1510
# Therefore, simulation behavior may occur that is not in compliance with
1511
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(14).adr(9 downto 0).
1512
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).data_we, and its initial value is not used.
1513
# Therefore, simulation behavior may occur that is not in compliance with
1514
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).data_we.
1515
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).cmd_data_we, and its initial value is not used.
1516
# Therefore, simulation behavior may occur that is not in compliance with
1517
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).cmd_data_we.
1518
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).status_cs, and its initial value is not used.
1519
# Therefore, simulation behavior may occur that is not in compliance with
1520
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).status_cs.
1521
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).data_cs, and its initial value is not used.
1522
# Therefore, simulation behavior may occur that is not in compliance with
1523
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).data_cs.
1524
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).cmd_data_cs, and its initial value is not used.
1525
# Therefore, simulation behavior may occur that is not in compliance with
1526
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).cmd_data_cs.
1527
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).cmd_adr_we, and its initial value is not used.
1528
# Therefore, simulation behavior may occur that is not in compliance with
1529
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).cmd_adr_we.
1530
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).data_oe, and its initial value is not used.
1531
# Therefore, simulation behavior may occur that is not in compliance with
1532
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).data_oe.
1533
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(13).adr(9 downto 0), and its initial value is not used.
1534
# Therefore, simulation behavior may occur that is not in compliance with
1535
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(13).adr(9 downto 0).
1536
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).data_we, and its initial value is not used.
1537
# Therefore, simulation behavior may occur that is not in compliance with
1538
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).data_we.
1539
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).cmd_data_we, and its initial value is not used.
1540
# Therefore, simulation behavior may occur that is not in compliance with
1541
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).cmd_data_we.
1542
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).status_cs, and its initial value is not used.
1543
# Therefore, simulation behavior may occur that is not in compliance with
1544
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).status_cs.
1545
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).data_cs, and its initial value is not used.
1546
# Therefore, simulation behavior may occur that is not in compliance with
1547
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).data_cs.
1548
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).cmd_data_cs, and its initial value is not used.
1549
# Therefore, simulation behavior may occur that is not in compliance with
1550
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).cmd_data_cs.
1551
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).cmd_adr_we, and its initial value is not used.
1552
# Therefore, simulation behavior may occur that is not in compliance with
1553
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).cmd_adr_we.
1554
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).data_oe, and its initial value is not used.
1555
# Therefore, simulation behavior may occur that is not in compliance with
1556
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).data_oe.
1557
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(12).adr(9 downto 0), and its initial value is not used.
1558
# Therefore, simulation behavior may occur that is not in compliance with
1559
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(12).adr(9 downto 0).
1560
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).data_we, and its initial value is not used.
1561
# Therefore, simulation behavior may occur that is not in compliance with
1562
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).data_we.
1563
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).cmd_data_we, and its initial value is not used.
1564
# Therefore, simulation behavior may occur that is not in compliance with
1565
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).cmd_data_we.
1566
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).status_cs, and its initial value is not used.
1567
# Therefore, simulation behavior may occur that is not in compliance with
1568
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).status_cs.
1569
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).data_cs, and its initial value is not used.
1570
# Therefore, simulation behavior may occur that is not in compliance with
1571
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).data_cs.
1572
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).cmd_data_cs, and its initial value is not used.
1573
# Therefore, simulation behavior may occur that is not in compliance with
1574
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).cmd_data_cs.
1575
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).cmd_adr_we, and its initial value is not used.
1576
# Therefore, simulation behavior may occur that is not in compliance with
1577
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).cmd_adr_we.
1578
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).data_oe, and its initial value is not used.
1579
# Therefore, simulation behavior may occur that is not in compliance with
1580
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).data_oe.
1581
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(11).adr(9 downto 0), and its initial value is not used.
1582
# Therefore, simulation behavior may occur that is not in compliance with
1583
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(11).adr(9 downto 0).
1584
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).data_we, and its initial value is not used.
1585
# Therefore, simulation behavior may occur that is not in compliance with
1586
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).data_we.
1587
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).cmd_data_we, and its initial value is not used.
1588
# Therefore, simulation behavior may occur that is not in compliance with
1589
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).cmd_data_we.
1590
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).status_cs, and its initial value is not used.
1591
# Therefore, simulation behavior may occur that is not in compliance with
1592
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).status_cs.
1593
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).data_cs, and its initial value is not used.
1594
# Therefore, simulation behavior may occur that is not in compliance with
1595
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).data_cs.
1596
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).cmd_data_cs, and its initial value is not used.
1597
# Therefore, simulation behavior may occur that is not in compliance with
1598
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).cmd_data_cs.
1599
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).cmd_adr_we, and its initial value is not used.
1600
# Therefore, simulation behavior may occur that is not in compliance with
1601
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).cmd_adr_we.
1602
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).data_oe, and its initial value is not used.
1603
# Therefore, simulation behavior may occur that is not in compliance with
1604
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).data_oe.
1605
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(10).adr(9 downto 0), and its initial value is not used.
1606
# Therefore, simulation behavior may occur that is not in compliance with
1607
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(10).adr(9 downto 0).
1608
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).data_we, and its initial value is not used.
1609
# Therefore, simulation behavior may occur that is not in compliance with
1610
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).data_we.
1611
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).cmd_data_we, and its initial value is not used.
1612
# Therefore, simulation behavior may occur that is not in compliance with
1613
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).cmd_data_we.
1614
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).status_cs, and its initial value is not used.
1615
# Therefore, simulation behavior may occur that is not in compliance with
1616
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).status_cs.
1617
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).data_cs, and its initial value is not used.
1618
# Therefore, simulation behavior may occur that is not in compliance with
1619
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).data_cs.
1620
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).cmd_data_cs, and its initial value is not used.
1621
# Therefore, simulation behavior may occur that is not in compliance with
1622
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).cmd_data_cs.
1623
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).cmd_adr_we, and its initial value is not used.
1624
# Therefore, simulation behavior may occur that is not in compliance with
1625
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).cmd_adr_we.
1626
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).data_oe, and its initial value is not used.
1627
# Therefore, simulation behavior may occur that is not in compliance with
1628
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).data_oe.
1629
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(9).adr(9 downto 0), and its initial value is not used.
1630
# Therefore, simulation behavior may occur that is not in compliance with
1631
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(9).adr(9 downto 0).
1632
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).data_we, and its initial value is not used.
1633
# Therefore, simulation behavior may occur that is not in compliance with
1634
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).data_we.
1635
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).cmd_data_we, and its initial value is not used.
1636
# Therefore, simulation behavior may occur that is not in compliance with
1637
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).cmd_data_we.
1638
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).status_cs, and its initial value is not used.
1639
# Therefore, simulation behavior may occur that is not in compliance with
1640
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).status_cs.
1641
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).data_cs, and its initial value is not used.
1642
# Therefore, simulation behavior may occur that is not in compliance with
1643
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).data_cs.
1644
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).cmd_data_cs, and its initial value is not used.
1645
# Therefore, simulation behavior may occur that is not in compliance with
1646
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).cmd_data_cs.
1647
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).cmd_adr_we, and its initial value is not used.
1648
# Therefore, simulation behavior may occur that is not in compliance with
1649
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).cmd_adr_we.
1650
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).data_oe, and its initial value is not used.
1651
# Therefore, simulation behavior may occur that is not in compliance with
1652
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).data_oe.
1653
# ** Warning: (vsim-8684) No drivers exist on out port /stend_ambpex5_core_m2/amb/amb/trd_host_cmd(8).adr(9 downto 0), and its initial value is not used.
1654
# Therefore, simulation behavior may occur that is not in compliance with
1655
# the VHDL standard as the initial values come from the base signal /stend_ambpex5_core_m2/amb/trd_host_cmd(8).adr(9 downto 0).
1656
# ** Note: Warning in %m at time %t: When using an asynchronous configuration for the FIFO Generator, the behavioral model is not cycle-accurate. You may wish to choose the structural simulation model instead of the behavioral model. This will ensure accurate behavior and latencies during simulation. You can enable this from CORE Generator by selecting Project -> Project Options -> Generation tab -> Structural Simulation. See the FIFO Generator User Guide for more information.
1657
#    Time: 0 ps  Iteration: 0  Instance: /stend_ambpex5_core_m2/amb/dio_out/x_fifo/ctrl_fifo/U0
1658
# ** Note: Warning in %m at time %t: When using an asynchronous configuration for the FIFO Generator, the behavioral model is not cycle-accurate. You may wish to choose the structural simulation model instead of the behavioral model. This will ensure accurate behavior and latencies during simulation. You can enable this from CORE Generator by selecting Project -> Project Options -> Generation tab -> Structural Simulation. See the FIFO Generator User Guide for more information.
1659
#    Time: 0 ps  Iteration: 0  Instance: /stend_ambpex5_core_m2/amb/dio_in/x_fifo/ctrl_fifo/U0
1660
# [ 1000 ns ] : Init start
1661
# [ 15923.246 ns ] : Transaction Reset is De-asserted
1662
# [ 25603.246 ns ] : Transaction Link is Up
1663
# [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
1664
#             BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
1665
#             BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
1666
#             BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
1667
#             BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
1668
#             BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
1669
#             BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
1670
#             BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
1671
# [ 25603.246 ns ] : Setting Core Configuration Space...
1672
# [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
1673
# [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
1674
# [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
1675
# [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
1676
# [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
1677
# [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
1678
# [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
1679
# [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
1680
# [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
1681
# [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
1682
# [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
1683
# [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
1684
# [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
1685
# [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
1686
# [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
1687
# [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
1688
# [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
1689
# [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
1690
# [ 107635.146 ns ] : BUS Master Enable
1691
# [ 107635.146 ns ] : Reading Config space
1692
#   Addr: [0x001]
1693
#   Cfg Addr [0x001] -> Data [0x00100000]
1694
# [ 107795.146 ns ] : Writing Config space
1695
#   Addr: [0x001] -> Data [0x00000007]
1696
# [ 107955.146 ns ] : Reading Config space
1697
#   Addr: [0x001]
1698
#   Cfg Addr [0x001] -> Data [0x00100007]
1699
# [ 113083.146 ns ] : Init complete
1700
# [ 186003.146 ns ] : PROC_PARSE_FRAME on Transmit
1701
# [ 186067.146 ns ] : PROC_PARSE_FRAME on Transmit
1702
# [ 186131.146 ns ] : PROC_PARSE_FRAME on Transmit
1703
# [ 186195.146 ns ] : PROC_PARSE_FRAME on Transmit
1704
# [ 186259.146 ns ] : PROC_PARSE_FRAME on Transmit
1705
# [ 186323.146 ns ] : PROC_PARSE_FRAME on Transmit
1706
# [ 186387.146 ns ] : PROC_PARSE_FRAME on Transmit
1707
# [ 186451.146 ns ] : PROC_PARSE_FRAME on Transmit
1708
# [ 197971.146 ns ] : PROC_PARSE_FRAME on Receive
1709
# [ 198083.146 ns ] : PROC_PARSE_FRAME on Transmit
1710
# [ 198147.146 ns ] : PROC_PARSE_FRAME on Transmit
1711
# [ 198211.146 ns ] : PROC_PARSE_FRAME on Transmit
1712
# [ 206227.146 ns ] : PROC_PARSE_FRAME on Receive
1713
# [ 206339.146 ns ] : PROC_PARSE_FRAME on Transmit
1714
# [ 206403.146 ns ] : PROC_PARSE_FRAME on Transmit
1715
# [ 206467.146 ns ] : PROC_PARSE_FRAME on Transmit
1716
# [ 206531.146 ns ] : PROC_PARSE_FRAME on Transmit
1717
# [ 214483.146 ns ] : PROC_PARSE_FRAME on Receive
1718
# [ 214643.146 ns ] : PROC_PARSE_FRAME on Receive
1719
# [ 214707.146 ns ] : PROC_PARSE_FRAME on Receive
1720
# [ 214803.146 ns ] : PROC_PARSE_FRAME on Receive
1721
# [ 214899.146 ns ] : PROC_PARSE_FRAME on Receive
1722
# [ 214931.146 ns ] : PROC_PARSE_FRAME on Transmit
1723
# [ 215107.146 ns ] : PROC_PARSE_FRAME on Transmit
1724
# [ 215155.146 ns ] : PROC_PARSE_FRAME on Transmit
1725
# [ 215331.146 ns ] : PROC_PARSE_FRAME on Transmit
1726
# [ 215507.146 ns ] : PROC_PARSE_FRAME on Transmit
1727
# [ 215555.146 ns ] : PROC_PARSE_FRAME on Transmit
1728
# [ 215731.146 ns ] : PROC_PARSE_FRAME on Transmit
1729
# [ 215907.146 ns ] : PROC_PARSE_FRAME on Transmit
1730
# [ 215955.146 ns ] : PROC_PARSE_FRAME on Transmit
1731
# [ 223155.146 ns ] : PROC_PARSE_FRAME on Receive
1732
# [ 223379.146 ns ] : PROC_PARSE_FRAME on Transmit
1733
# [ 223555.146 ns ] : PROC_PARSE_FRAME on Transmit
1734
# [ 223603.146 ns ] : PROC_PARSE_FRAME on Transmit
1735
# [ 223667.146 ns ] : PROC_PARSE_FRAME on Transmit
1736
# [ 223731.146 ns ] : PROC_PARSE_FRAME on Transmit
1737
# [ 231379.146 ns ] : PROC_PARSE_FRAME on Receive
1738
# [ 231491.146 ns ] : PROC_PARSE_FRAME on Transmit
1739
# [ 251555.146 ns ] : PROC_PARSE_FRAME on Transmit
1740
# [ 257619.146 ns ] : PROC_PARSE_FRAME on Receive
1741
# [ 258227.146 ns ] : PROC_PARSE_FRAME on Receive
1742
# [ 258803.146 ns ] : PROC_PARSE_FRAME on Receive
1743
# [ 259411.146 ns ] : PROC_PARSE_FRAME on Receive
1744
# [ 259987.146 ns ] : PROC_PARSE_FRAME on Receive
1745
# [ 260019.146 ns ] : PROC_PARSE_FRAME on Receive
1746
# [ 260131.146 ns ] : PROC_PARSE_FRAME on Transmit
1747
# [ 260755.146 ns ] : PROC_PARSE_FRAME on Receive
1748
# [ 261331.146 ns ] : PROC_PARSE_FRAME on Receive
1749
# [ 261939.146 ns ] : PROC_PARSE_FRAME on Receive
1750
# [ 262515.146 ns ] : PROC_PARSE_FRAME on Receive
1751
# [ 263123.146 ns ] : PROC_PARSE_FRAME on Receive
1752
# [ 263795.146 ns ] : PROC_PARSE_FRAME on Receive
1753
# [ 264403.146 ns ] : PROC_PARSE_FRAME on Receive
1754
# [ 264979.146 ns ] : PROC_PARSE_FRAME on Receive
1755
# [ 265587.146 ns ] : PROC_PARSE_FRAME on Receive
1756
# [ 266163.146 ns ] : PROC_PARSE_FRAME on Receive
1757
# [ 266771.146 ns ] : PROC_PARSE_FRAME on Receive
1758
# [ 267347.146 ns ] : PROC_PARSE_FRAME on Receive
1759
# [ 267955.146 ns ] : PROC_PARSE_FRAME on Receive
1760
# [ 268531.146 ns ] : PROC_PARSE_FRAME on Receive
1761
# [ 268563.146 ns ] : PROC_PARSE_FRAME on Receive
1762
# [ 268675.146 ns ] : PROC_PARSE_FRAME on Transmit
1763
# [ 269235.146 ns ] : PROC_PARSE_FRAME on Receive
1764
# [ 269811.146 ns ] : PROC_PARSE_FRAME on Receive
1765
# [ 270483.146 ns ] : PROC_PARSE_FRAME on Receive
1766
# [ 271059.146 ns ] : PROC_PARSE_FRAME on Receive
1767
# [ 271667.146 ns ] : PROC_PARSE_FRAME on Receive
1768
# [ 272307.146 ns ] : PROC_PARSE_FRAME on Receive
1769
# [ 272915.146 ns ] : PROC_PARSE_FRAME on Receive
1770
# [ 273491.146 ns ] : PROC_PARSE_FRAME on Receive
1771
# [ 274099.146 ns ] : PROC_PARSE_FRAME on Receive
1772
# [ 274675.146 ns ] : PROC_PARSE_FRAME on Receive
1773
# [ 275283.146 ns ] : PROC_PARSE_FRAME on Receive
1774
# [ 275859.146 ns ] : PROC_PARSE_FRAME on Receive
1775
# [ 276499.146 ns ] : PROC_PARSE_FRAME on Receive
1776
# [ 277171.146 ns ] : PROC_PARSE_FRAME on Receive
1777
# [ 277203.146 ns ] : PROC_PARSE_FRAME on Receive
1778
# [ 277315.146 ns ] : PROC_PARSE_FRAME on Transmit
1779
# [ 277875.146 ns ] : PROC_PARSE_FRAME on Transmit
1780
# [ 277875.146 ns ] : PROC_PARSE_FRAME on Receive
1781
# [ 278451.146 ns ] : PROC_PARSE_FRAME on Receive
1782
# [ 279059.146 ns ] : PROC_PARSE_FRAME on Receive
1783
# [ 279635.146 ns ] : PROC_PARSE_FRAME on Receive
1784
# [ 280243.146 ns ] : PROC_PARSE_FRAME on Receive
1785
# [ 280883.146 ns ] : PROC_PARSE_FRAME on Receive
1786
# [ 281555.146 ns ] : PROC_PARSE_FRAME on Receive
1787
# [ 282131.146 ns ] : PROC_PARSE_FRAME on Receive
1788
# [ 282803.146 ns ] : PROC_PARSE_FRAME on Receive
1789
# [ 283379.146 ns ] : PROC_PARSE_FRAME on Receive
1790
# [ 283987.146 ns ] : PROC_PARSE_FRAME on Receive
1791
# [ 284563.146 ns ] : PROC_PARSE_FRAME on Receive
1792
# [ 285171.146 ns ] : PROC_PARSE_FRAME on Receive
1793
# [ 285747.146 ns ] : PROC_PARSE_FRAME on Receive
1794
# [ 286451.146 ns ] : PROC_PARSE_FRAME on Receive
1795
# [ 286483.146 ns ] : PROC_PARSE_FRAME on Receive
1796
# [ 286595.146 ns ] : PROC_PARSE_FRAME on Transmit
1797
# [ 287123.146 ns ] : PROC_PARSE_FRAME on Receive
1798
# [ 287731.146 ns ] : PROC_PARSE_FRAME on Receive
1799
# [ 288307.146 ns ] : PROC_PARSE_FRAME on Receive
1800
# [ 288947.146 ns ] : PROC_PARSE_FRAME on Receive
1801
# [ 289523.146 ns ] : PROC_PARSE_FRAME on Receive
1802
# [ 290195.146 ns ] : PROC_PARSE_FRAME on Receive
1803
# [ 290771.146 ns ] : PROC_PARSE_FRAME on Receive
1804
# [ 291379.146 ns ] : PROC_PARSE_FRAME on Receive
1805
# [ 291955.146 ns ] : PROC_PARSE_FRAME on Receive
1806
# [ 292563.146 ns ] : PROC_PARSE_FRAME on Receive
1807
# [ 293203.146 ns ] : PROC_PARSE_FRAME on Receive
1808
# [ 293811.146 ns ] : PROC_PARSE_FRAME on Receive
1809
# [ 294387.146 ns ] : PROC_PARSE_FRAME on Receive
1810
# [ 295059.146 ns ] : PROC_PARSE_FRAME on Receive
1811
# [ 295091.146 ns ] : PROC_PARSE_FRAME on Receive
1812
# [ 295699.146 ns ] : PROC_PARSE_FRAME on Transmit
1813
# [ 295731.146 ns ] : PROC_PARSE_FRAME on Receive
1814
# [ 296339.146 ns ] : PROC_PARSE_FRAME on Receive
1815
# [ 296371.146 ns ] : PROC_PARSE_FRAME on Receive
1816
# [ 296435.146 ns ] : PROC_PARSE_FRAME on Receive
1817
# [ 296467.146 ns ] : PROC_PARSE_FRAME on Receive
1818
# [ 296499.146 ns ] : PROC_PARSE_FRAME on Receive
1819
# [ 305203.146 ns ] : PROC_PARSE_FRAME on Receive
1820
# [ 305427.146 ns ] : PROC_PARSE_FRAME on Transmit
1821
# [ 305603.146 ns ] : PROC_PARSE_FRAME on Transmit
1822
# [ 305651.146 ns ] : PROC_PARSE_FRAME on Transmit
1823
# [ 313459.146 ns ] : PROC_PARSE_FRAME on Receive
1824
# [ 313683.146 ns ] : PROC_PARSE_FRAME on Transmit
1825
# [ 313859.146 ns ] : PROC_PARSE_FRAME on Transmit
1826
# [ 313907.146 ns ] : PROC_PARSE_FRAME on Transmit
1827
# [ 314083.146 ns ] : PROC_PARSE_FRAME on Transmit
1828
# [ 314259.146 ns ] : PROC_PARSE_FRAME on Transmit
1829
# [ 314435.146 ns ] : PROC_PARSE_FRAME on Transmit
1830
# [ 314611.146 ns ] : PROC_PARSE_FRAME on Transmit
1831
# [ 314659.146 ns ] : PROC_PARSE_FRAME on Transmit
1832
# [ 321715.146 ns ] : PROC_PARSE_FRAME on Receive
1833
# [ 321827.146 ns ] : PROC_PARSE_FRAME on Transmit
1834
# [ 322611.146 ns ] : PROC_PARSE_FRAME on Receive
1835
# [ 323219.146 ns ] : PROC_PARSE_FRAME on Receive
1836
# [ 323795.146 ns ] : PROC_PARSE_FRAME on Receive
1837
# [ 324403.146 ns ] : PROC_PARSE_FRAME on Receive
1838
# [ 325075.146 ns ] : PROC_PARSE_FRAME on Receive
1839
# [ 325747.146 ns ] : PROC_PARSE_FRAME on Receive
1840
# [ 326355.146 ns ] : PROC_PARSE_FRAME on Receive
1841
# [ 326963.146 ns ] : PROC_PARSE_FRAME on Receive
1842
# [ 327539.146 ns ] : PROC_PARSE_FRAME on Receive
1843
# [ 327571.146 ns ] : PROC_PARSE_FRAME on Receive
1844
# [ 328179.146 ns ] : PROC_PARSE_FRAME on Transmit
1845
# [ 328243.146 ns ] : PROC_PARSE_FRAME on Receive
1846
# [ 328851.146 ns ] : PROC_PARSE_FRAME on Receive
1847
# [ 329459.146 ns ] : PROC_PARSE_FRAME on Receive
1848
# [ 330035.146 ns ] : PROC_PARSE_FRAME on Receive
1849
# [ 330643.146 ns ] : PROC_PARSE_FRAME on Receive
1850
# [ 331219.146 ns ] : PROC_PARSE_FRAME on Receive
1851
# [ 331891.146 ns ] : PROC_PARSE_FRAME on Receive
1852
# [ 332467.146 ns ] : PROC_PARSE_FRAME on Receive
1853
# [ 333075.146 ns ] : PROC_PARSE_FRAME on Receive
1854
# [ 333651.146 ns ] : PROC_PARSE_FRAME on Receive
1855
# [ 333683.146 ns ] : PROC_PARSE_FRAME on Receive
1856
# [ 333795.146 ns ] : PROC_PARSE_FRAME on Transmit
1857
# [ 334355.146 ns ] : PROC_PARSE_FRAME on Receive
1858
# [ 334995.146 ns ] : PROC_PARSE_FRAME on Receive
1859
# [ 335603.146 ns ] : PROC_PARSE_FRAME on Receive
1860
# [ 336179.146 ns ] : PROC_PARSE_FRAME on Receive
1861
# [ 336787.146 ns ] : PROC_PARSE_FRAME on Receive
1862
# [ 337427.146 ns ] : PROC_PARSE_FRAME on Receive
1863
# [ 338035.146 ns ] : PROC_PARSE_FRAME on Receive
1864
# [ 338611.146 ns ] : PROC_PARSE_FRAME on Receive
1865
# [ 339219.146 ns ] : PROC_PARSE_FRAME on Receive
1866
# [ 339251.146 ns ] : PROC_PARSE_FRAME on Receive
1867
# [ 339859.146 ns ] : PROC_PARSE_FRAME on Transmit
1868
# [ 339891.146 ns ] : PROC_PARSE_FRAME on Receive
1869
# [ 340499.146 ns ] : PROC_PARSE_FRAME on Receive
1870
# [ 341107.146 ns ] : PROC_PARSE_FRAME on Receive
1871
# [ 341715.146 ns ] : PROC_PARSE_FRAME on Receive
1872
# [ 342387.146 ns ] : PROC_PARSE_FRAME on Receive
1873
# [ 342995.146 ns ] : PROC_PARSE_FRAME on Receive
1874
# [ 343635.146 ns ] : PROC_PARSE_FRAME on Receive
1875
# [ 344243.146 ns ] : PROC_PARSE_FRAME on Receive
1876
# [ 344819.146 ns ] : PROC_PARSE_FRAME on Receive
1877
# [ 353587.146 ns ] : PROC_PARSE_FRAME on Receive
1878
# [ 353699.146 ns ] : PROC_PARSE_FRAME on Transmit
1879
# [ 354451.146 ns ] : PROC_PARSE_FRAME on Receive
1880
# [ 355027.146 ns ] : PROC_PARSE_FRAME on Receive
1881
# [ 355635.146 ns ] : PROC_PARSE_FRAME on Receive
1882
# [ 356211.146 ns ] : PROC_PARSE_FRAME on Receive
1883
# [ 356819.146 ns ] : PROC_PARSE_FRAME on Receive
1884
# [ 357459.146 ns ] : PROC_PARSE_FRAME on Receive
1885
# [ 358067.146 ns ] : PROC_PARSE_FRAME on Receive
1886
# [ 358707.146 ns ] : PROC_PARSE_FRAME on Receive
1887
# [ 359315.146 ns ] : PROC_PARSE_FRAME on Receive
1888
# [ 359891.146 ns ] : PROC_PARSE_FRAME on Receive
1889
# [ 360531.146 ns ] : PROC_PARSE_FRAME on Receive
1890
# [ 361107.146 ns ] : PROC_PARSE_FRAME on Receive
1891
# [ 361715.146 ns ] : PROC_PARSE_FRAME on Receive
1892
# [ 362291.146 ns ] : PROC_PARSE_FRAME on Receive
1893
# [ 362323.146 ns ] : PROC_PARSE_FRAME on Receive
1894
# [ 362435.146 ns ] : PROC_PARSE_FRAME on Transmit
1895
# [ 362995.146 ns ] : PROC_PARSE_FRAME on Transmit
1896
# [ 362995.146 ns ] : PROC_PARSE_FRAME on Receive
1897
# [ 363571.146 ns ] : PROC_PARSE_FRAME on Receive
1898
# [ 364179.146 ns ] : PROC_PARSE_FRAME on Receive
1899
# [ 364755.146 ns ] : PROC_PARSE_FRAME on Receive
1900
# [ 365363.146 ns ] : PROC_PARSE_FRAME on Receive
1901
# [ 366003.146 ns ] : PROC_PARSE_FRAME on Receive
1902
# [ 366739.146 ns ] : PROC_PARSE_FRAME on Receive
1903
# [ 367315.146 ns ] : PROC_PARSE_FRAME on Receive
1904
# [ 367923.146 ns ] : PROC_PARSE_FRAME on Receive
1905
# [ 368499.146 ns ] : PROC_PARSE_FRAME on Receive
1906
# [ 369107.146 ns ] : PROC_PARSE_FRAME on Receive
1907
# [ 369683.146 ns ] : PROC_PARSE_FRAME on Receive
1908
# [ 370291.146 ns ] : PROC_PARSE_FRAME on Receive
1909
# [ 378899.146 ns ] : PROC_PARSE_FRAME on Receive
1910
# [ 379011.146 ns ] : PROC_PARSE_FRAME on Transmit
1911
# [ 387123.146 ns ] : PROC_PARSE_FRAME on Receive
1912
# [ 387235.146 ns ] : PROC_PARSE_FRAME on Transmit
1913
# [ 387299.146 ns ] : PROC_PARSE_FRAME on Transmit
1914
# [ 395379.146 ns ] : PROC_PARSE_FRAME on Receive
1915
# [ 395491.146 ns ] : PROC_PARSE_FRAME on Transmit
1916
# [ 395555.146 ns ] : PROC_PARSE_FRAME on Transmit
1917
# [ 403955.146 ns ] : PROC_PARSE_FRAME on Receive
1918
# [ 404563.146 ns ] : PROC_PARSE_FRAME on Transmit
1919
# [ 412147.146 ns ] : PROC_PARSE_FRAME on Receive
1920
# [ 412755.146 ns ] : PROC_PARSE_FRAME on Transmit
1921
# [ 420403.146 ns ] : PROC_PARSE_FRAME on Receive
1922
# [ 421011.146 ns ] : PROC_PARSE_FRAME on Transmit
1923
# [ 428627.146 ns ] : PROC_PARSE_FRAME on Receive
1924
# [ 429235.146 ns ] : PROC_PARSE_FRAME on Transmit
1925
# ** Failure: RX Simulation Timeout.
1926
#    Time: 505619146 ps  Iteration: 12  Process: /stend_ambpex5_core_m2/rp/RX_APP/#MERGED#line__998,864 File: ../src/pcie_src/pcie_sim/dsport/pci_exp_usrapp_rx_m2.vhd
1927
# Break in Architecture rtl at ../src/pcie_src/pcie_sim/dsport/pci_exp_usrapp_rx_m2.vhd line 1012
1928
# Simulation Breakpoint: Break in Architecture rtl at ../src/pcie_src/pcie_sim/dsport/pci_exp_usrapp_rx_m2.vhd line 1012
1929
# MACRO ./zz_do/setup_sim.do PAUSED at line 137

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.