OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [ise/] [ctrl_fifo512x64st_v0_bb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
//////////////////////////////////////////////////////////////////////////////////
2
// Company:         ;)
3
// Engineer:        Kuzmi4
4
// 
5
// Create Date:     14:39:52 05/19/2010 
6
// Design Name:     
7
// Module Name:     ctrl_fifo512x64st_v0 BB 
8
// Project Name:    
9
// Target Devices:  XC6SLX45T-3FGG484
10
// Tool versions:   ISE v13.2
11
// Description:     
12
//                  
13
//                  
14
//                  
15
// Revision: 
16
// Revision 0.01 - File Created
17
//
18
//////////////////////////////////////////////////////////////////////////////////
19
`timescale 1ns / 1ps
20
 
21
module ctrl_fifo512x64st_v0
22
(
23
    input           clk,
24
    input           rst,
25
    input           wr_en,
26
    input   [63:0]  din,
27
    input           rd_en,
28
    output  [63:0]  dout,
29
    output          full,
30
    output          empty,
31
    output  [ 8:0]  data_count
32
 
33
)/* synthesis syn_black_box */;
34
 
35
// synthesis translate_off
36
 
37
// synthesis translate_on
38
 
39
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.