OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/] [sysgen/] [masterScript313467115758254244.pl] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
 
2
open(PIDFILE, '> pidfile.txt') || die 'Couldn\'t write process ID to file.';
3
print PIDFILE "$$\n";
4
close(PIDFILE);
5
 
6
eval {
7
  # Call script(s).
8
  my $instrs;
9
  my $results = [];
10
$ENV{'SYSGEN'} = 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen';
11
  use Sg;
12
  $instrs = {
13
    'HDLCodeGenStatus' => 0.0,
14
    'HDL_PATH' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
15
    'Impl_file' => 'ISE Defaults',
16
    'Impl_file_sgadvanced' => '',
17
    'Synth_file' => 'XST Defaults',
18
    'Synth_file_sgadvanced' => '',
19
    'TEMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
20
    'TMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
21
    'Temp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
22
    'Tmp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
23
    'base_system_period_hardware' => 5.0,
24
    'base_system_period_simulink' => 8.0E-9,
25
    'block_icon_display' => 'Default',
26
    'block_type' => 'sysgen',
27
    'block_version' => '',
28
    'ce_clr' => 0.0,
29
    'clock_loc' => '',
30
    'clock_wrapper' => 'Clock Enables',
31
    'clock_wrapper_sgadvanced' => '',
32
    'compilation' => 'NGC Netlist',
33
    'compilation_lut' => {
34
      'keys' => [
35
        'HDL Netlist',
36
        'Bitstream',
37
        'NGC Netlist',
38
      ],
39
      'values' => [
40
        'target1',
41
        'target2',
42
        'target3',
43
      ],
44
    },
45
    'compilation_target' => 'NGC Netlist',
46
    'core_generation' => 1.0,
47
    'core_generation_sgadvanced' => '',
48
    'core_is_deployed' => 0.0,
49
    'coregen_core_generation_tmpdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root/cg_wk/c46e83d2645affbd5',
50
    'coregen_part_family' => 'virtex6',
51
    'createTestbench' => 0,
52
    'create_interface_document' => 'off',
53
    'dbl_ovrd' => -1.0,
54
    'dbl_ovrd_sgadvanced' => '',
55
    'dcm_input_clock_period' => 5.0,
56
    'deprecated_control' => 'off',
57
    'deprecated_control_sgadvanced' => '',
58
    'design' => 'PCIe_UserLogic_00',
59
    'design_full_path' => 'C:\\Temp\\Xilinx PCI Express\\ML605_ISE13.3\\MySysGen\\PCIe_UserLogic_00.mdl',
60
    'device' => 'xc6vlx240t-1ff1156',
61
    'device_speed' => '-1',
62
    'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
63
    'dsp_cache_root_path' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
64
    'eval_field' => '0',
65
    'fileDeliveryDefaults' => [
66
      [
67
        '(?i)\\.vhd$',
68
        { 'fileName' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/perl_results.vhd', },
69
      ],
70
      [
71
        '(?i)\\.v$',
72
        { 'fileName' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/perl_results.v', },
73
      ],
74
    ],
75
    'fxdptinstalled' => 1.0,
76
    'generateUsing71FrontEnd' => 1,
77
    'generating_island_subsystem_handle' => 2084.00048828125,
78
    'generating_subsystem_handle' => 2084.00048828125,
79
    'generation_directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
80
    'has_advanced_control' => '0',
81
    'hdlDir' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen/hdl',
82
    'hdlKind' => 'vhdl',
83
    'hdl_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
84
    'impl_file' => 'ISE Defaults*',
85
    'incr_netlist' => 'off',
86
    'incr_netlist_sgadvanced' => '',
87
    'infoedit' => ' System Generator',
88
    'isdeployed' => 0,
89
    'ise_version' => '13.3i',
90
    'master_sysgen_token_handle' => 2085.00048828125,
91
    'matlab' => 'C:/Programmi/MATLAB/R2010b',
92
    'matlab_fixedpoint' => 1.0,
93
    'mdlHandle' => 2083.00048828125,
94
    'mdlPath' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
95
    'modelDiagnostics' => [
96
      {
97
        'count' => 351.0,
98
        'isMask' => 0.0,
99
        'type' => 'PCIe_UserLogic_00 Total blocks',
100
      },
101
      {
102
        'count' => 4.0,
103
        'isMask' => 0.0,
104
        'type' => 'DiscretePulseGenerator',
105
      },
106
      {
107
        'count' => 339.0,
108
        'isMask' => 0.0,
109
        'type' => 'S-Function',
110
      },
111
      {
112
        'count' => 4.0,
113
        'isMask' => 0.0,
114
        'type' => 'SubSystem',
115
      },
116
      {
117
        'count' => 4.0,
118
        'isMask' => 0.0,
119
        'type' => 'Terminator',
120
      },
121
      {
122
        'count' => 1.0,
123
        'isMask' => 1.0,
124
        'type' => 'Xilinx ChipScope Block',
125
      },
126
      {
127
        'count' => 23.0,
128
        'isMask' => 1.0,
129
        'type' => 'Xilinx Constant Block Block',
130
      },
131
      {
132
        'count' => 1.0,
133
        'isMask' => 1.0,
134
        'type' => 'Xilinx Counter Block',
135
      },
136
      {
137
        'count' => 44.0,
138
        'isMask' => 1.0,
139
        'type' => 'Xilinx Gateway In Block',
140
      },
141
      {
142
        'count' => 39.0,
143
        'isMask' => 1.0,
144
        'type' => 'Xilinx Gateway Out Block',
145
      },
146
      {
147
        'count' => 2.0,
148
        'isMask' => 1.0,
149
        'type' => 'Xilinx Inverter Block',
150
      },
151
      {
152
        'count' => 1.0,
153
        'isMask' => 1.0,
154
        'type' => 'Xilinx Logical Block Block',
155
      },
156
      {
157
        'count' => 89.0,
158
        'isMask' => 1.0,
159
        'type' => 'Xilinx Register Block',
160
      },
161
      {
162
        'count' => 62.0,
163
        'isMask' => 1.0,
164
        'type' => 'Xilinx Shared Memory Based From Register Block',
165
      },
166
      {
167
        'count' => 62.0,
168
        'isMask' => 1.0,
169
        'type' => 'Xilinx Shared Memory Based To Register Block',
170
      },
171
      {
172
        'count' => 1.0,
173
        'isMask' => 1.0,
174
        'type' => 'Xilinx Subsystem Generator Block',
175
      },
176
      {
177
        'count' => 2.0,
178
        'isMask' => 1.0,
179
        'type' => 'Xilinx System Generator Block',
180
      },
181
      {
182
        'count' => 14.0,
183
        'isMask' => 1.0,
184
        'type' => 'Xilinx Type Converter Block',
185
      },
186
    ],
187
    'model_globals_initialized' => 1.0,
188
    'model_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
189
    'myxilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
190
    'ngc_config' => {
191
      'include_cf' => 1,
192
      'include_clockwrapper' => 1.0,
193
    },
194
    'ngc_files' => [ 'xlpersistentdff.ngc', ],
195
    'num_sim_cycles' => '1250000000',
196
    'package' => 'ff1156',
197
    'part' => 'xc6vlx240t',
198
    'partFamily' => 'virtex6',
199
    'port_data_types_enabled' => 1.0,
200
    'postgeneration_fcn' => 'xlNGCPostGeneration',
201
    'preserve_hierarchy' => 0.0,
202
    'proj_type' => 'Project Navigator',
203
    'proj_type_sgadvanced' => '',
204
    'run_coregen' => 'off',
205
    'run_coregen_sgadvanced' => '',
206
    'sample_time_colors_enabled' => 1.0,
207
    'sampletimecolors' => 1.0,
208
    'settings_fcn' => 'xlngcsettings',
209
    'sg_blockgui_xml' => '',
210
    'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
211
    'sg_list_contents' => '',
212
    'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
213
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
214
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
215
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
216
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
217
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
218
fprintf(\'\',\'COMMENT: end icon graphics\');
219
fprintf(\'\',\'COMMENT: begin icon text\');
220
fprintf(\'\',\'COMMENT: end icon text\');',
221
    'sg_version' => '',
222
    'sggui_pos' => '-1,-1,-1,-1',
223
    'simulation_island_subsystem_handle' => 2084.00048828125,
224
    'simulink_accelerator_running' => 0.0,
225
    'simulink_debugger_running' => 0.0,
226
    'simulink_period' => 8.0E-9,
227
    'speed' => '-1',
228
    'synth_file' => 'XST Defaults*',
229
    'synthesisTool' => 'XST',
230
    'synthesis_language' => 'vhdl',
231
    'synthesis_tool' => 'XST',
232
    'synthesis_tool_sgadvanced' => '',
233
    'sysclk_period' => 5.0,
234
    'sysgen' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
235
    'sysgenRoot' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
236
    'sysgenTokenSettings' => {
237
      'Impl_file' => 'ISE Defaults',
238
      'Impl_file_sgadvanced' => '',
239
      'Synth_file' => 'XST Defaults',
240
      'Synth_file_sgadvanced' => '',
241
      'base_system_period_hardware' => 5.0,
242
      'base_system_period_simulink' => 8.0E-9,
243
      'block_icon_display' => 'Default',
244
      'block_type' => 'sysgen',
245
      'block_version' => '',
246
      'ce_clr' => 0.0,
247
      'clock_loc' => '',
248
      'clock_wrapper' => 'Clock Enables',
249
      'clock_wrapper_sgadvanced' => '',
250
      'compilation' => 'NGC Netlist',
251
      'compilation_lut' => {
252
        'keys' => [
253
          'HDL Netlist',
254
          'Bitstream',
255
          'NGC Netlist',
256
        ],
257
        'values' => [
258
          'target1',
259
          'target2',
260
          'target3',
261
        ],
262
      },
263
      'core_generation' => 1.0,
264
      'core_generation_sgadvanced' => '',
265
      'coregen_part_family' => 'virtex6',
266
      'create_interface_document' => 'off',
267
      'dbl_ovrd' => -1.0,
268
      'dbl_ovrd_sgadvanced' => '',
269
      'dcm_input_clock_period' => 5.0,
270
      'deprecated_control' => 'off',
271
      'deprecated_control_sgadvanced' => '',
272
      'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
273
      'eval_field' => '0',
274
      'has_advanced_control' => '0',
275
      'impl_file' => 'ISE Defaults*',
276
      'incr_netlist' => 'off',
277
      'incr_netlist_sgadvanced' => '',
278
      'infoedit' => ' System Generator',
279
      'master_sysgen_token_handle' => 2085.00048828125,
280
      'ngc_config' => {
281
        'include_cf' => 1,
282
        'include_clockwrapper' => 1.0,
283
      },
284
      'package' => 'ff1156',
285
      'part' => 'xc6vlx240t',
286
      'postgeneration_fcn' => 'xlNGCPostGeneration',
287
      'preserve_hierarchy' => 0.0,
288
      'proj_type' => 'Project Navigator',
289
      'proj_type_sgadvanced' => '',
290
      'run_coregen' => 'off',
291
      'run_coregen_sgadvanced' => '',
292
      'settings_fcn' => 'xlngcsettings',
293
      'sg_blockgui_xml' => '',
294
      'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
295
      'sg_list_contents' => '',
296
      'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
297
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
298
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
299
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
300
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
301
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
302
fprintf(\'\',\'COMMENT: end icon graphics\');
303
fprintf(\'\',\'COMMENT: begin icon text\');
304
fprintf(\'\',\'COMMENT: end icon text\');',
305
      'sggui_pos' => '-1,-1,-1,-1',
306
      'simulation_island_subsystem_handle' => 2084.00048828125,
307
      'simulink_period' => 8.0E-9,
308
      'speed' => '-1',
309
      'synth_file' => 'XST Defaults*',
310
      'synthesis_language' => 'vhdl',
311
      'synthesis_tool' => 'XST',
312
      'synthesis_tool_sgadvanced' => '',
313
      'sysclk_period' => 5.0,
314
      'testbench' => 0,
315
      'testbench_sgadvanced' => '',
316
      'trim_vbits' => 1.0,
317
      'trim_vbits_sgadvanced' => '',
318
      'xilinx_device' => 'xc6vlx240t-1ff1156',
319
      'xilinxfamily' => 'virtex6',
320
    },
321
    'sysgen_Root' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
322
    'systemClockPeriod' => 5.0,
323
    'tempdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
324
    'testbench' => 0,
325
    'testbench_sgadvanced' => '',
326
    'tmpDir' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen',
327
    'trim_vbits' => 1.0,
328
    'trim_vbits_sgadvanced' => '',
329
    'use_ce_syn_keep' => 1,
330
    'use_strict_names' => 1,
331
    'user_tips_enabled' => 0.0,
332
    'usertemp' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
333
    'using71Netlister' => 1,
334
    'verilog_files' => [
335
      'conv_pkg.v',
336
      'synth_reg.v',
337
      'synth_reg_w_init.v',
338
      'convert_type.v',
339
    ],
340
    'version' => '',
341
    'vhdl_files' => [
342
      'conv_pkg.vhd',
343
      'synth_reg.vhd',
344
      'synth_reg_w_init.vhd',
345
    ],
346
    'vsimtime' => '6875000275.000000 ns',
347
    'xilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
348
    'xilinx_device' => 'xc6vlx240t-1ff1156',
349
    'xilinx_family' => 'virtex6',
350
    'xilinx_package' => 'ff1156',
351
    'xilinx_part' => 'xc6vlx240t',
352
    'xilinxdevice' => 'xc6vlx240t-1ff1156',
353
    'xilinxfamily' => 'virtex6',
354
    'xilinxpart' => 'xc6vlx240t',
355
  };
356
  push(@$results, &Sg::setAttributes($instrs));
357
  use SgDeliverFile;
358
  $instrs = {
359
    'collaborationName' => 'conv_pkg.vhd',
360
    'sourceFile' => 'hdl/conv_pkg.vhd',
361
    'templateKeyValues' => {},
362
  };
363
  push(@$results, &SgDeliverFile::saveCollaborationInfo($instrs));
364
  $instrs = {
365
    'collaborationName' => 'synth_reg.vhd',
366
    'sourceFile' => 'hdl/synth_reg.vhd',
367
    'templateKeyValues' => {},
368
  };
369
  push(@$results, &SgDeliverFile::saveCollaborationInfo($instrs));
370
  $instrs = {
371
    'collaborationName' => 'synth_reg_w_init.vhd',
372
    'sourceFile' => 'hdl/synth_reg_w_init.vhd',
373
    'templateKeyValues' => {},
374
  };
375
  push(@$results, &SgDeliverFile::saveCollaborationInfo($instrs));
376
  $instrs = {
377
    'collaborationName' => 'xlpersistentdff.ngc',
378
    'sourceFile' => 'hdl/xlpersistentdff.ngc',
379
    'templateKeyValues' => {},
380
  };
381
  push(@$results, &SgDeliverFile::saveCollaborationInfo($instrs));
382
  $instrs = {
383
    'entity_declaration_hash' => '13366d021ddc9f5413827bc05cb9e24f',
384
    'sourceFile' => 'hdl/xlmcode.vhd',
385
    'templateKeyValues' => {
386
      'crippled_architecture' => 'is
387
begin
388
  op <= "1";
389
end',
390
      'crippled_entity' => 'is
391
  port (
392
    op : out std_logic_vector((1 - 1) downto 0);
393
    clk : in std_logic;
394
    ce : in std_logic;
395
    clr : in std_logic);
396
end',
397
      'entity_name' => 'constant_6293007044',
398
    },
399
  };
400
  push(@$results, &SgDeliverFile::deliverFile($instrs));
401
  local *wrapup = $Sg::{'wrapup'};
402
  push(@$results, &Sg::wrapup())   if (defined(&wrapup));
403
  local *wrapup = $SgDeliverFile::{'wrapup'};
404
  push(@$results, &SgDeliverFile::wrapup())   if (defined(&wrapup));
405
  use Carp qw(croak);
406
  $ENV{'SYSGEN'} = 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen';
407
  open(RESULTS, '> C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/script_results2004397686292478731') ||
408
    croak 'couldn\'t open C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/script_results2004397686292478731';
409
  binmode(RESULTS);
410
  print RESULTS &Sg::toString($results) . "\n";
411
  close(RESULTS) ||
412
    croak 'trouble writing C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/script_results2004397686292478731';
413
};
414
 
415
if ($@) {
416
  open(RESULTS, '> C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/script_results2004397686292478731') ||
417
    croak 'couldn\'t open C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/script_results2004397686292478731';
418
  binmode(RESULTS);
419
  print RESULTS $@ . "\n";
420
  close(RESULTS) ||
421
    croak 'trouble writing C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/script_results2004397686292478731';
422
  exit(1);
423
}
424
 
425
exit(0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.