OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/] [sysgen/] [nonleaf_results.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use work.conv_pkg.all;
4
 
5
-- Generated from Simulink block "INOUT_LOGIC"
6
 
7
entity inout_logic is
8
  port (
9
    data_out: in std_logic;
10
    data_out_x0: in std_logic_vector(31 downto 0);
11
    data_out_x1: in std_logic_vector(31 downto 0);
12
    data_out_x10: in std_logic;
13
    data_out_x11: in std_logic;
14
    data_out_x12: in std_logic_vector(31 downto 0);
15
    data_out_x13: in std_logic;
16
    data_out_x14: in std_logic_vector(31 downto 0);
17
    data_out_x15: in std_logic;
18
    data_out_x16: in std_logic_vector(31 downto 0);
19
    data_out_x17: in std_logic;
20
    data_out_x18: in std_logic_vector(31 downto 0);
21
    data_out_x19: in std_logic;
22
    data_out_x2: in std_logic;
23
    data_out_x20: in std_logic_vector(31 downto 0);
24
    data_out_x21: in std_logic;
25
    data_out_x22: in std_logic_vector(31 downto 0);
26
    data_out_x23: in std_logic;
27
    data_out_x24: in std_logic_vector(31 downto 0);
28
    data_out_x25: in std_logic_vector(31 downto 0);
29
    data_out_x26: in std_logic;
30
    data_out_x3: in std_logic_vector(31 downto 0);
31
    data_out_x4: in std_logic;
32
    data_out_x5: in std_logic_vector(31 downto 0);
33
    data_out_x6: in std_logic;
34
    data_out_x7: in std_logic_vector(31 downto 0);
35
    data_out_x8: in std_logic;
36
    data_out_x9: in std_logic_vector(31 downto 0);
37
    debug_in_1i: in std_logic_vector(31 downto 0);
38
    debug_in_2i: in std_logic_vector(31 downto 0);
39
    debug_in_3i: in std_logic_vector(31 downto 0);
40
    debug_in_4i: in std_logic_vector(31 downto 0);
41
    dma_host2board_busy: in std_logic;
42
    dma_host2board_done: in std_logic;
43
    reg01_td: in std_logic_vector(31 downto 0);
44
    reg01_tv: in std_logic;
45
    reg02_td: in std_logic_vector(31 downto 0);
46
    reg02_tv: in std_logic;
47
    reg03_td: in std_logic_vector(31 downto 0);
48
    reg03_tv: in std_logic;
49
    reg04_td: in std_logic_vector(31 downto 0);
50
    reg04_tv: in std_logic;
51
    reg05_td: in std_logic_vector(31 downto 0);
52
    reg05_tv: in std_logic;
53
    reg06_td: in std_logic_vector(31 downto 0);
54
    reg06_tv: in std_logic;
55
    reg07_td: in std_logic_vector(31 downto 0);
56
    reg07_tv: in std_logic;
57
    reg08_td: in std_logic_vector(31 downto 0);
58
    reg08_tv: in std_logic;
59
    reg09_td: in std_logic_vector(31 downto 0);
60
    reg09_tv: in std_logic;
61
    reg10_td: in std_logic_vector(31 downto 0);
62
    reg10_tv: in std_logic;
63
    reg11_td: in std_logic_vector(31 downto 0);
64
    reg11_tv: in std_logic;
65
    reg12_td: in std_logic_vector(31 downto 0);
66
    reg12_tv: in std_logic;
67
    reg13_td: in std_logic_vector(31 downto 0);
68
    reg13_tv: in std_logic;
69
    reg14_td: in std_logic_vector(31 downto 0);
70
    reg14_tv: in std_logic;
71
    data_in: out std_logic_vector(31 downto 0);
72
    data_in_x0: out std_logic;
73
    data_in_x1: out std_logic_vector(31 downto 0);
74
    data_in_x10: out std_logic_vector(31 downto 0);
75
    data_in_x11: out std_logic_vector(31 downto 0);
76
    data_in_x12: out std_logic;
77
    data_in_x13: out std_logic_vector(31 downto 0);
78
    data_in_x14: out std_logic;
79
    data_in_x15: out std_logic_vector(31 downto 0);
80
    data_in_x16: out std_logic;
81
    data_in_x17: out std_logic_vector(31 downto 0);
82
    data_in_x18: out std_logic;
83
    data_in_x19: out std_logic_vector(31 downto 0);
84
    data_in_x2: out std_logic;
85
    data_in_x20: out std_logic;
86
    data_in_x21: out std_logic;
87
    data_in_x22: out std_logic_vector(31 downto 0);
88
    data_in_x23: out std_logic;
89
    data_in_x24: out std_logic_vector(31 downto 0);
90
    data_in_x25: out std_logic;
91
    data_in_x26: out std_logic_vector(31 downto 0);
92
    data_in_x27: out std_logic;
93
    data_in_x28: out std_logic_vector(31 downto 0);
94
    data_in_x29: out std_logic_vector(31 downto 0);
95
    data_in_x3: out std_logic_vector(31 downto 0);
96
    data_in_x30: out std_logic_vector(31 downto 0);
97
    data_in_x31: out std_logic;
98
    data_in_x32: out std_logic_vector(31 downto 0);
99
    data_in_x4: out std_logic;
100
    data_in_x5: out std_logic_vector(31 downto 0);
101
    data_in_x6: out std_logic;
102
    data_in_x7: out std_logic_vector(31 downto 0);
103
    data_in_x8: out std_logic;
104
    data_in_x9: out std_logic;
105
    en: out std_logic;
106
    en_x0: out std_logic;
107
    en_x1: out std_logic;
108
    en_x10: out std_logic;
109
    en_x11: out std_logic;
110
    en_x12: out std_logic;
111
    en_x13: out std_logic;
112
    en_x14: out std_logic;
113
    en_x15: out std_logic;
114
    en_x16: out std_logic;
115
    en_x17: out std_logic;
116
    en_x18: out std_logic;
117
    en_x19: out std_logic;
118
    en_x2: out std_logic;
119
    en_x20: out std_logic;
120
    en_x21: out std_logic;
121
    en_x22: out std_logic;
122
    en_x23: out std_logic;
123
    en_x24: out std_logic;
124
    en_x25: out std_logic;
125
    en_x26: out std_logic;
126
    en_x27: out std_logic;
127
    en_x28: out std_logic;
128
    en_x29: out std_logic;
129
    en_x3: out std_logic;
130
    en_x30: out std_logic;
131
    en_x31: out std_logic;
132
    en_x32: out std_logic;
133
    en_x4: out std_logic;
134
    en_x5: out std_logic;
135
    en_x6: out std_logic;
136
    en_x7: out std_logic;
137
    en_x8: out std_logic;
138
    en_x9: out std_logic;
139
    reg01_rd: out std_logic_vector(31 downto 0);
140
    reg01_rv: out std_logic;
141
    reg02_rd: out std_logic_vector(31 downto 0);
142
    reg02_rv: out std_logic;
143
    reg03_rd: out std_logic_vector(31 downto 0);
144
    reg03_rv: out std_logic;
145
    reg04_rd: out std_logic_vector(31 downto 0);
146
    reg04_rv: out std_logic;
147
    reg05_rd: out std_logic_vector(31 downto 0);
148
    reg05_rv: out std_logic;
149
    reg06_rd: out std_logic_vector(31 downto 0);
150
    reg06_rv: out std_logic;
151
    reg07_rd: out std_logic_vector(31 downto 0);
152
    reg07_rv: out std_logic;
153
    reg08_rd: out std_logic_vector(31 downto 0);
154
    reg08_rv: out std_logic;
155
    reg09_rd: out std_logic_vector(31 downto 0);
156
    reg09_rv: out std_logic;
157
    reg10_rd: out std_logic_vector(31 downto 0);
158
    reg10_rv: out std_logic;
159
    reg11_rd: out std_logic_vector(31 downto 0);
160
    reg11_rv: out std_logic;
161
    reg12_rd: out std_logic_vector(31 downto 0);
162
    reg12_rv: out std_logic;
163
    reg13_rd: out std_logic_vector(31 downto 0);
164
    reg13_rv: out std_logic;
165
    reg14_rd: out std_logic_vector(31 downto 0);
166
    reg14_rv: out std_logic
167
  );
168
end inout_logic;
169
 
170
architecture structural of inout_logic is
171
  attribute core_generation_info: string;
172
  attribute core_generation_info of structural : architecture is "PCIe_UserLogic_00,sysgen_core,{clock_period=5.00000000,clocking=Clock_Enables,compilation=NGC_Netlist,sample_periods=1.00000000000,testbench=0,total_blocks=351,xilinx_chipscope_block=1,xilinx_constant_block_block=23,xilinx_counter_block=1,xilinx_gateway_in_block=44,xilinx_gateway_out_block=39,xilinx_inverter_block=2,xilinx_logical_block_block=1,xilinx_register_block=89,xilinx_shared_memory_based_from_register_block=62,xilinx_shared_memory_based_to_register_block=62,xilinx_subsystem_generator_block=1,xilinx_system_generator_block=2,xilinx_type_converter_block=14,}";
173
 
174
  signal constant1_op_net_x0: std_logic;
175
  signal constant5_op_net_x0: std_logic;
176
  signal debug_in_1i_net: std_logic_vector(31 downto 0);
177
  signal debug_in_2i_net: std_logic_vector(31 downto 0);
178
  signal debug_in_3i_net: std_logic_vector(31 downto 0);
179
  signal debug_in_4i_net: std_logic_vector(31 downto 0);
180
  signal dma_host2board_busy_net: std_logic;
181
  signal dma_host2board_done_net: std_logic;
182
  signal from_register10_data_out_net: std_logic_vector(31 downto 0);
183
  signal from_register11_data_out_net: std_logic_vector(31 downto 0);
184
  signal from_register12_data_out_net: std_logic;
185
  signal from_register13_data_out_net: std_logic_vector(31 downto 0);
186
  signal from_register14_data_out_net: std_logic;
187
  signal from_register15_data_out_net: std_logic_vector(31 downto 0);
188
  signal from_register16_data_out_net: std_logic;
189
  signal from_register17_data_out_net: std_logic_vector(31 downto 0);
190
  signal from_register18_data_out_net: std_logic;
191
  signal from_register19_data_out_net: std_logic_vector(31 downto 0);
192
  signal from_register1_data_out_net: std_logic;
193
  signal from_register20_data_out_net: std_logic;
194
  signal from_register21_data_out_net: std_logic_vector(31 downto 0);
195
  signal from_register22_data_out_net: std_logic;
196
  signal from_register23_data_out_net: std_logic_vector(31 downto 0);
197
  signal from_register24_data_out_net: std_logic;
198
  signal from_register25_data_out_net: std_logic_vector(31 downto 0);
199
  signal from_register26_data_out_net: std_logic;
200
  signal from_register27_data_out_net: std_logic_vector(31 downto 0);
201
  signal from_register28_data_out_net: std_logic;
202
  signal from_register2_data_out_net: std_logic;
203
  signal from_register3_data_out_net: std_logic_vector(31 downto 0);
204
  signal from_register4_data_out_net: std_logic;
205
  signal from_register5_data_out_net: std_logic_vector(31 downto 0);
206
  signal from_register6_data_out_net: std_logic;
207
  signal from_register7_data_out_net: std_logic_vector(31 downto 0);
208
  signal from_register8_data_out_net: std_logic_vector(31 downto 0);
209
  signal from_register9_data_out_net: std_logic;
210
  signal reg01_td_net: std_logic_vector(31 downto 0);
211
  signal reg01_tv_net: std_logic;
212
  signal reg02_td_net: std_logic_vector(31 downto 0);
213
  signal reg02_tv_net: std_logic;
214
  signal reg03_td_net: std_logic_vector(31 downto 0);
215
  signal reg03_tv_net: std_logic;
216
  signal reg04_td_net: std_logic_vector(31 downto 0);
217
  signal reg04_tv_net: std_logic;
218
  signal reg05_td_net: std_logic_vector(31 downto 0);
219
  signal reg05_tv_net: std_logic;
220
  signal reg06_td_net: std_logic_vector(31 downto 0);
221
  signal reg06_tv_net: std_logic;
222
  signal reg07_td_net: std_logic_vector(31 downto 0);
223
  signal reg07_tv_net: std_logic;
224
  signal reg08_td_net: std_logic_vector(31 downto 0);
225
  signal reg08_tv_net: std_logic;
226
  signal reg09_td_net: std_logic_vector(31 downto 0);
227
  signal reg09_tv_net: std_logic;
228
  signal reg10_td_net: std_logic_vector(31 downto 0);
229
  signal reg10_tv_net: std_logic;
230
  signal reg11_td_net: std_logic_vector(31 downto 0);
231
  signal reg11_tv_net: std_logic;
232
  signal reg12_td_net: std_logic_vector(31 downto 0);
233
  signal reg12_tv_net: std_logic;
234
  signal reg13_td_net: std_logic_vector(31 downto 0);
235
  signal reg13_tv_net: std_logic;
236
  signal reg14_td_net: std_logic_vector(31 downto 0);
237
  signal reg14_tv_net: std_logic;
238
 
239
begin
240
  from_register1_data_out_net <= data_out;
241
  from_register10_data_out_net <= data_out_x0;
242
  from_register11_data_out_net <= data_out_x1;
243
  from_register2_data_out_net <= data_out_x10;
244
  from_register20_data_out_net <= data_out_x11;
245
  from_register21_data_out_net <= data_out_x12;
246
  from_register22_data_out_net <= data_out_x13;
247
  from_register23_data_out_net <= data_out_x14;
248
  from_register24_data_out_net <= data_out_x15;
249
  from_register25_data_out_net <= data_out_x16;
250
  from_register26_data_out_net <= data_out_x17;
251
  from_register27_data_out_net <= data_out_x18;
252
  from_register28_data_out_net <= data_out_x19;
253
  from_register12_data_out_net <= data_out_x2;
254
  from_register3_data_out_net <= data_out_x20;
255
  from_register4_data_out_net <= data_out_x21;
256
  from_register5_data_out_net <= data_out_x22;
257
  from_register6_data_out_net <= data_out_x23;
258
  from_register7_data_out_net <= data_out_x24;
259
  from_register8_data_out_net <= data_out_x25;
260
  from_register9_data_out_net <= data_out_x26;
261
  from_register13_data_out_net <= data_out_x3;
262
  from_register14_data_out_net <= data_out_x4;
263
  from_register15_data_out_net <= data_out_x5;
264
  from_register16_data_out_net <= data_out_x6;
265
  from_register17_data_out_net <= data_out_x7;
266
  from_register18_data_out_net <= data_out_x8;
267
  from_register19_data_out_net <= data_out_x9;
268
  debug_in_1i_net <= debug_in_1i;
269
  debug_in_2i_net <= debug_in_2i;
270
  debug_in_3i_net <= debug_in_3i;
271
  debug_in_4i_net <= debug_in_4i;
272
  dma_host2board_busy_net <= dma_host2board_busy;
273
  dma_host2board_done_net <= dma_host2board_done;
274
  reg01_td_net <= reg01_td;
275
  reg01_tv_net <= reg01_tv;
276
  reg02_td_net <= reg02_td;
277
  reg02_tv_net <= reg02_tv;
278
  reg03_td_net <= reg03_td;
279
  reg03_tv_net <= reg03_tv;
280
  reg04_td_net <= reg04_td;
281
  reg04_tv_net <= reg04_tv;
282
  reg05_td_net <= reg05_td;
283
  reg05_tv_net <= reg05_tv;
284
  reg06_td_net <= reg06_td;
285
  reg06_tv_net <= reg06_tv;
286
  reg07_td_net <= reg07_td;
287
  reg07_tv_net <= reg07_tv;
288
  reg08_td_net <= reg08_td;
289
  reg08_tv_net <= reg08_tv;
290
  reg09_td_net <= reg09_td;
291
  reg09_tv_net <= reg09_tv;
292
  reg10_td_net <= reg10_td;
293
  reg10_tv_net <= reg10_tv;
294
  reg11_td_net <= reg11_td;
295
  reg11_tv_net <= reg11_tv;
296
  reg12_td_net <= reg12_td;
297
  reg12_tv_net <= reg12_tv;
298
  reg13_td_net <= reg13_td;
299
  reg13_tv_net <= reg13_tv;
300
  reg14_td_net <= reg14_td;
301
  reg14_tv_net <= reg14_tv;
302
  data_in <= debug_in_2i_net;
303
  data_in_x0 <= reg04_tv_net;
304
  data_in_x1 <= reg04_td_net;
305
  data_in_x10 <= debug_in_3i_net;
306
  data_in_x11 <= debug_in_4i_net;
307
  data_in_x12 <= reg09_tv_net;
308
  data_in_x13 <= reg09_td_net;
309
  data_in_x14 <= reg10_tv_net;
310
  data_in_x15 <= reg10_td_net;
311
  data_in_x16 <= reg08_tv_net;
312
  data_in_x17 <= reg08_td_net;
313
  data_in_x18 <= reg11_tv_net;
314
  data_in_x19 <= reg11_td_net;
315
  data_in_x2 <= reg05_tv_net;
316
  data_in_x20 <= reg12_tv_net;
317
  data_in_x21 <= reg01_tv_net;
318
  data_in_x22 <= reg12_td_net;
319
  data_in_x23 <= reg13_tv_net;
320
  data_in_x24 <= reg13_td_net;
321
  data_in_x25 <= reg14_tv_net;
322
  data_in_x26 <= reg14_td_net;
323
  data_in_x27 <= reg02_tv_net;
324
  data_in_x28 <= reg02_td_net;
325
  data_in_x29 <= debug_in_1i_net;
326
  data_in_x3 <= reg05_td_net;
327
  data_in_x30 <= reg01_td_net;
328
  data_in_x31 <= reg03_tv_net;
329
  data_in_x32 <= reg03_td_net;
330
  data_in_x4 <= reg06_tv_net;
331
  data_in_x5 <= reg06_td_net;
332
  data_in_x6 <= reg07_tv_net;
333
  data_in_x7 <= reg07_td_net;
334
  data_in_x8 <= dma_host2board_busy_net;
335
  data_in_x9 <= dma_host2board_done_net;
336
  en <= constant5_op_net_x0;
337
  en_x0 <= constant5_op_net_x0;
338
  en_x1 <= constant5_op_net_x0;
339
  en_x10 <= constant5_op_net_x0;
340
  en_x11 <= constant5_op_net_x0;
341
  en_x12 <= constant1_op_net_x0;
342
  en_x13 <= constant1_op_net_x0;
343
  en_x14 <= constant1_op_net_x0;
344
  en_x15 <= constant1_op_net_x0;
345
  en_x16 <= constant1_op_net_x0;
346
  en_x17 <= constant1_op_net_x0;
347
  en_x18 <= constant1_op_net_x0;
348
  en_x19 <= constant1_op_net_x0;
349
  en_x2 <= constant5_op_net_x0;
350
  en_x20 <= constant1_op_net_x0;
351
  en_x21 <= constant5_op_net_x0;
352
  en_x22 <= constant1_op_net_x0;
353
  en_x23 <= constant1_op_net_x0;
354
  en_x24 <= constant1_op_net_x0;
355
  en_x25 <= constant1_op_net_x0;
356
  en_x26 <= constant1_op_net_x0;
357
  en_x27 <= constant5_op_net_x0;
358
  en_x28 <= constant5_op_net_x0;
359
  en_x29 <= constant5_op_net_x0;
360
  en_x3 <= constant5_op_net_x0;
361
  en_x30 <= constant5_op_net_x0;
362
  en_x31 <= constant5_op_net_x0;
363
  en_x32 <= constant5_op_net_x0;
364
  en_x4 <= constant5_op_net_x0;
365
  en_x5 <= constant5_op_net_x0;
366
  en_x6 <= constant5_op_net_x0;
367
  en_x7 <= constant5_op_net_x0;
368
  en_x8 <= constant5_op_net_x0;
369
  en_x9 <= constant5_op_net_x0;
370
  reg01_rd <= from_register3_data_out_net;
371
  reg01_rv <= from_register1_data_out_net;
372
  reg02_rd <= from_register5_data_out_net;
373
  reg02_rv <= from_register2_data_out_net;
374
  reg03_rd <= from_register7_data_out_net;
375
  reg03_rv <= from_register6_data_out_net;
376
  reg04_rd <= from_register8_data_out_net;
377
  reg04_rv <= from_register4_data_out_net;
378
  reg05_rd <= from_register10_data_out_net;
379
  reg05_rv <= from_register9_data_out_net;
380
  reg06_rd <= from_register11_data_out_net;
381
  reg06_rv <= from_register12_data_out_net;
382
  reg07_rd <= from_register13_data_out_net;
383
  reg07_rv <= from_register14_data_out_net;
384
  reg08_rd <= from_register15_data_out_net;
385
  reg08_rv <= from_register16_data_out_net;
386
  reg09_rd <= from_register17_data_out_net;
387
  reg09_rv <= from_register18_data_out_net;
388
  reg10_rd <= from_register19_data_out_net;
389
  reg10_rv <= from_register20_data_out_net;
390
  reg11_rd <= from_register21_data_out_net;
391
  reg11_rv <= from_register22_data_out_net;
392
  reg12_rd <= from_register23_data_out_net;
393
  reg12_rv <= from_register24_data_out_net;
394
  reg13_rd <= from_register25_data_out_net;
395
  reg13_rv <= from_register26_data_out_net;
396
  reg14_rd <= from_register27_data_out_net;
397
  reg14_rv <= from_register28_data_out_net;
398
 
399
  constant1: entity work.constant_6293007044
400
    port map (
401
      ce => '0',
402
      clk => '0',
403
      clr => '0',
404
      op(0) => constant1_op_net_x0
405
    );
406
 
407
  constant5: entity work.constant_6293007044
408
    port map (
409
      ce => '0',
410
      clk => '0',
411
      clr => '0',
412
      op(0) => constant5_op_net_x0
413
    );
414
 
415
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.