OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_1_PCIe_UserLogic_00_USER_LOGIC/] [sysgen/] [cntr_11_0_341fbb8cfa0e669e.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
--------------------------------------------------------------------------------
2
--    This file is owned and controlled by Xilinx and must be used solely     --
3
--    for design, simulation, implementation and creation of design files     --
4
--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5
--    devices or technologies is expressly prohibited and immediately         --
6
--    terminates your license.                                                --
7
--                                                                            --
8
--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9
--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10
--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11
--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12
--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13
--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14
--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15
--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16
--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17
--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18
--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19
--    PARTICULAR PURPOSE.                                                     --
20
--                                                                            --
21
--    Xilinx products are not intended for use in life support appliances,    --
22
--    devices, or systems.  Use in such applications are expressly            --
23
--    prohibited.                                                             --
24
--                                                                            --
25
--    (c) Copyright 1995-2012 Xilinx, Inc.                                    --
26
--    All rights reserved.                                                    --
27
--------------------------------------------------------------------------------
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file cntr_11_0_341fbb8cfa0e669e.vhd when simulating
30
-- the core, cntr_11_0_341fbb8cfa0e669e. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
LIBRARY XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY cntr_11_0_341fbb8cfa0e669e IS
44
  PORT (
45
    clk : IN STD_LOGIC;
46
    ce : IN STD_LOGIC;
47
    sinit : IN STD_LOGIC;
48
    q : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
49
  );
50
END cntr_11_0_341fbb8cfa0e669e;
51
 
52
ARCHITECTURE cntr_11_0_341fbb8cfa0e669e_a OF cntr_11_0_341fbb8cfa0e669e IS
53
-- synthesis translate_off
54
COMPONENT wrapped_cntr_11_0_341fbb8cfa0e669e
55
  PORT (
56
    clk : IN STD_LOGIC;
57
    ce : IN STD_LOGIC;
58
    sinit : IN STD_LOGIC;
59
    q : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
60
  );
61
END COMPONENT;
62
 
63
-- Configuration specification
64
  FOR ALL : wrapped_cntr_11_0_341fbb8cfa0e669e USE ENTITY XilinxCoreLib.c_counter_binary_v11_0(behavioral)
65
    GENERIC MAP (
66
      c_ainit_val => "0",
67
      c_ce_overrides_sync => 0,
68
      c_count_by => "1",
69
      c_count_mode => 0,
70
      c_count_to => "1",
71
      c_fb_latency => 0,
72
      c_has_ce => 1,
73
      c_has_load => 0,
74
      c_has_sclr => 0,
75
      c_has_sinit => 1,
76
      c_has_sset => 0,
77
      c_has_thresh0 => 0,
78
      c_implementation => 0,
79
      c_latency => 1,
80
      c_load_low => 0,
81
      c_restrict_count => 0,
82
      c_sclr_overrides_sset => 1,
83
      c_sinit_val => "0",
84
      c_thresh0_value => "1",
85
      c_verbosity => 0,
86
      c_width => 12,
87
      c_xdevicefamily => "virtex6"
88
    );
89
-- synthesis translate_on
90
BEGIN
91
-- synthesis translate_off
92
U0 : wrapped_cntr_11_0_341fbb8cfa0e669e
93
  PORT MAP (
94
    clk => clk,
95
    ce => ce,
96
    sinit => sinit,
97
    q => q
98
  );
99
-- synthesis translate_on
100
 
101
END cntr_11_0_341fbb8cfa0e669e_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.