OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [trunk/] [ucf/] [pcie_x4_dma.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 weng_ziti
###############################################################################
2
#
3
# File:   xilinx_pci_exp_blk_plus_4_lane_ep_xc5vlx110t-ff1136-1.ucf
4
#
5
# Use this file only with the device listed below.  Any other
6
# combination is invalid.  Do not modify this file except in
7
# regions designated for "User" constraints.
8
#
9
# Copyright (c) 2008 Xilinx, Inc.  All rights reserved.
10
#
11
###############################################################################
12
# Define Device, Package And Speed Grade
13
###############################################################################
14
CONFIG PART = XC5VLX110T-FF1136-1;
15
 
16
###############################################################################
17
# User Time Names / User Time Groups / Time Specs
18
###############################################################################
19
###############################################################################
20
# User Physical Constraints
21
###############################################################################
22
###############################################################################
23
# Pinout and Related I/O Constraints
24
###############################################################################
25
#
26
# SYS reset (input) signal.  The sys_reset_n signal should be
27
# obtained from the PCI Express interface if possible.  For
28
# slot based form factors, a system reset signal is usually
29
# present on the connector.  For cable based form factors, a
30
# system reset signal may not be available.  In this case, the
31
# system reset signal must be generated locally by some form of
32
# supervisory circuit.  You may change the IOSTANDARD and LOC
33
# to suit your requirements and VCCO voltage banking rules.
34
#
35
# # NET "sys_reset_n"      LOC = "AE14"  | IOSTANDARD = LVCMOS25 | PULLUP | NODELAY ;
36
#NET "sys_reset_n"      LOC = "H25"  | IOSTANDARD = LVCMOS25 | PULLUP | NODELAY ;
37
#
38
# SYS clock 100 MHz (input) signal. The sys_clk_p and sys_clk_n
39
# signals are the PCI Express reference clock. Virtex-5 GTP
40
# Transceiver architecture requires the use of a dedicated clock
41
# resources (FPGA input pins) associated with each GTP Transceiver Tile.
42
# To use these pins an IBUFDS primitive (refclk_ibuf) is
43
# instantiated in user's design.
44
# Please refer to the Virtex-5 GTP Transceiver User Guide
45
# (UG196) for guidelines regarding clock resource selection.
46
#
47
NET  "sys_clk_p"       LOC = "P4"  ;
48
NET  "sys_clk_n"       LOC = "P3"  ;
49
 
50
INST "refclk_ibuf"     DIFF_TERM = "TRUE" ;
51
 
52
NET  "refclkout"       LOC = B32   ;
53
 
54
# LEDs
55
Net LEDs_IO_pin<0> LOC=H13;
56
Net LEDs_IO_pin<0> IOSTANDARD=LVCMOS25;
57
Net LEDs_IO_pin<0> PULLDOWN;
58
Net LEDs_IO_pin<0> SLEW=SLOW;
59
Net LEDs_IO_pin<0> DRIVE=2;
60
 
61
Net LEDs_IO_pin<1> LOC=J17 ;
62
Net LEDs_IO_pin<1> IOSTANDARD=LVCMOS25;
63
Net LEDs_IO_pin<1> PULLDOWN;
64
Net LEDs_IO_pin<1> SLEW=SLOW;
65
Net LEDs_IO_pin<1> DRIVE=2;
66
 
67
Net LEDs_IO_pin<2> LOC=H15 ;
68
Net LEDs_IO_pin<2> IOSTANDARD=LVCMOS25;
69
Net LEDs_IO_pin<2> PULLDOWN;
70
Net LEDs_IO_pin<2> SLEW=SLOW;
71
Net LEDs_IO_pin<2> DRIVE=2;
72
 
73
Net LEDs_IO_pin<3> LOC=G16 ;
74
Net LEDs_IO_pin<3> IOSTANDARD=LVCMOS25;
75
Net LEDs_IO_pin<3> PULLDOWN;
76
Net LEDs_IO_pin<3> SLEW=SLOW;
77
Net LEDs_IO_pin<3> DRIVE=2;
78
 
79
Net LEDs_IO_pin<4> LOC=L18 ;
80
Net LEDs_IO_pin<4> IOSTANDARD=LVCMOS25;
81
Net LEDs_IO_pin<4> PULLDOWN;
82
Net LEDs_IO_pin<4> SLEW=SLOW;
83
Net LEDs_IO_pin<4> DRIVE=2;
84
 
85
Net LEDs_IO_pin<5> LOC=H18 ;
86
Net LEDs_IO_pin<5> IOSTANDARD=LVCMOS25;
87
Net LEDs_IO_pin<5> PULLDOWN;
88
Net LEDs_IO_pin<5> SLEW=SLOW;
89
Net LEDs_IO_pin<5> DRIVE=2;
90
 
91
Net LEDs_IO_pin<6> LOC=J19 ;
92
Net LEDs_IO_pin<6> IOSTANDARD=LVCMOS25;
93
Net LEDs_IO_pin<6> PULLDOWN;
94
Net LEDs_IO_pin<6> SLEW=SLOW;
95
Net LEDs_IO_pin<6> DRIVE=2;
96
 
97
Net LEDs_IO_pin<7> LOC=J21 ;
98
Net LEDs_IO_pin<7> IOSTANDARD=LVCMOS25;
99
Net LEDs_IO_pin<7> PULLDOWN;
100
Net LEDs_IO_pin<7> SLEW=SLOW;
101
Net LEDs_IO_pin<7> DRIVE=2;
102
 
103
 
104
#
105
# Transceiver instance placement.  This constraint selects the
106
# transceivers to be used, which also dictates the pinout for the
107
# transmit and receive differential pairs.  Please refer to the
108
# Virtex-5 GTP Transceiver User Guide (UG196) for more
109
# information.
110
#
111
# PCIe Lanes 0, 1
112
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[0].GT_i" LOC = GTP_DUAL_X0Y4;
113
 
114
# PCIe Lanes 2, 3
115
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[2].GT_i" LOC = GTP_DUAL_X0Y3;
116
 
117
## Lock down the PLL:
118
#INST "*/pcie_clocking_i/use_pll.pll_i" LOC = PLL_ADV_X0Y2;
119
###############################################################################
120
# Physical Constraints
121
###############################################################################
122
#
123
# BlockRAM placement
124
#
125
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_retry/generate_sdp.ram_sdp_inst"      LOC = RAMB36_X3Y12 ;
126
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst" LOC = RAMB36_X3Y11 ;
127
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_rx/generate_tdp2[1].ram_tdp2_inst" LOC = RAMB36_X3Y10 ;
128
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst" LOC = RAMB36_X3Y9 ;
129
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_rx/generate_tdp2[0].ram_tdp2_inst" LOC = RAMB36_X3Y8 ;
130
 
131
#
132
# Timing critical placements
133
#
134
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk_if/ll_bridge/tx_bridge/tx_bridge/shift_pipe1" LOC = "SLICE_X107Y56" ;
135
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk_if/ll_bridge/rx_bridge/arb_inst/completion_available" LOC = "SLICE_X106Y46" ;
136
INST "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk_if/cf_bridge/management_interface/mgmt_rdata_d1_3" LOC = "SLICE_X107Y45" ;
137
###############################################################################
138
# Timing Constraints
139
###############################################################################
140
#
141
# Timing requirements and related constraints.
142
#
143
 
144
NET "sys_clk_n" TNM_NET = sys_clk_n;
145
TIMESPEC TS_sys_clk_n = PERIOD "sys_clk_n" 10 ns LOW 50%;
146
NET "sys_clk_p" TNM_NET = sys_clk_p;
147
TIMESPEC TS_sys_clk_p = PERIOD "sys_clk_p" 10 ns HIGH 50%;
148
 
149
NET "make4Lanes.pcieCore/BU2/U0/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/gt_refclk_out[0]" TNM_NET = "MGTCLK" ;
150
 
151
TIMESPEC "TS_MGTCLK"  = PERIOD "MGTCLK" 100.00 MHz HIGH 50 % ;
152
 
153
 
154
Net Button_Rst   LOC = AM32 |IOSTANDARD = LVCMOS25;
155
Net Button_Rst TIG;
156
 
157
## System level constraints
158
 
159
 
160
 
161
###############################################################################
162
# End
163
###############################################################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.