OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [de0_nano/] [pdp8_top.qsf] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 trurl
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2011 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 11.1 Build 173 11/01/2011 SJ Full Version
21
# Date created = 19:28:47  October 30, 2013
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               pdp8_top_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone IV E"
40
set_global_assignment -name DEVICE EP4CE22F17C6
41
set_global_assignment -name TOP_LEVEL_ENTITY pdp8_top
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 11.1
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:28:47  OCTOBER 30, 2013"
44
set_global_assignment -name LAST_QUARTUS_VERSION 11.1
45
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
46
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
47
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
48
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 256
49
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
50
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
51
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
52
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_types.vhd
53
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_tx.vhd
54
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_rx.vhd
55
set_global_assignment -name VHDL_FILE ../pdp8/uart/uart_brg.vhd
56
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sdspi_types.vhd
57
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sdspi.vhd
58
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sd_types.vhd
59
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/sd.vhd
60
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/rk05_types.vhd
61
set_global_assignment -name VHDL_FILE ../pdp8/rk8e/rk05.vhd
62
set_global_assignment -name VHDL_FILE ../pdp8/kl8e/kl8e_tx.vhd
63
set_global_assignment -name VHDL_FILE ../pdp8/kl8e/kl8e_rx.vhd
64
set_global_assignment -name VHDL_FILE ../pdp8/cpu/xma.vhd
65
set_global_assignment -name VHDL_FILE ../pdp8/cpu/usrtrp.vhd
66
set_global_assignment -name VHDL_FILE ../pdp8/cpu/uf.vhd
67
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ub.vhd
68
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sr.vhd
69
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sp.vhd
70
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sf.vhd
71
set_global_assignment -name VHDL_FILE ../pdp8/cpu/sc.vhd
72
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pwrtrp.vhd
73
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pnltrp.vhd
74
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pex.vhd
75
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pdf.vhd
76
set_global_assignment -name VHDL_FILE ../pdp8/cpu/pc.vhd
77
set_global_assignment -name VHDL_FILE ../pdp8/cpu/mqa.vhd
78
set_global_assignment -name VHDL_FILE ../pdp8/cpu/mq.vhd
79
set_global_assignment -name VHDL_FILE ../pdp8/cpu/mb.vhd
80
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ma.vhd
81
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ir.vhd
82
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ii.vhd
83
set_global_assignment -name VHDL_FILE ../pdp8/cpu/if.vhd
84
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ie.vhd
85
set_global_assignment -name VHDL_FILE ../pdp8/cpu/id.vhd
86
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ib.vhd
87
set_global_assignment -name VHDL_FILE ../pdp8/cpu/hlttrp.vhd
88
set_global_assignment -name VHDL_FILE ../pdp8/cpu/gtf.vhd
89
set_global_assignment -name VHDL_FILE ../pdp8/cpu/fz.vhd
90
set_global_assignment -name VHDL_FILE ../pdp8/cpu/emode.vhd
91
set_global_assignment -name VHDL_FILE ../pdp8/cpu/eae.vhd
92
set_global_assignment -name VHDL_FILE ../pdp8/cpu/df.vhd
93
set_global_assignment -name VHDL_FILE ../pdp8/cpu/ctrlff.vhd
94
set_global_assignment -name VHDL_FILE ../pdp8/cpu/cpu_types.vhd
95
set_global_assignment -name VHDL_FILE ../pdp8/cpu/cpu.vhd
96
set_global_assignment -name VHDL_FILE ../pdp8/cpu/btstrp.vhd
97
set_global_assignment -name VHDL_FILE ../pdp8/cpu/alu.vhd
98
set_global_assignment -name VHDL_FILE ../pdp8/rk8e_types.vhd
99
set_global_assignment -name VHDL_FILE ../pdp8/rk8e.vhd
100
set_global_assignment -name VHDL_FILE ../pdp8/pr8e_types.vhd
101
set_global_assignment -name VHDL_FILE ../pdp8/pr8e.vhd
102
set_global_assignment -name VHDL_FILE ../pdp8/pdp8.vhd
103
set_global_assignment -name VHDL_FILE ../pdp8/ms8c.vhd
104
set_global_assignment -name VHDL_FILE ../pdp8/ls8e_types.vhd
105
set_global_assignment -name VHDL_FILE ../pdp8/ls8e.vhd
106
set_global_assignment -name VHDL_FILE ../pdp8/kl8e_types.vhd
107
set_global_assignment -name VHDL_FILE ../pdp8/kl8e.vhd
108
set_global_assignment -name VHDL_FILE ../pdp8/kc8e_types.vhd
109
set_global_assignment -name VHDL_FILE ../pdp8/kc8e.vhd
110
set_global_assignment -name VHDL_FILE ../pdp8/dk8e_types.vhd
111
set_global_assignment -name VHDL_FILE ../pdp8/dk8e.vhd
112
set_global_assignment -name VHDL_FILE ../pdp8/dev_types.vhd
113
set_global_assignment -name VHDL_FILE ../pdp8/busmux.vhd
114
set_global_assignment -name VHDL_FILE ../pdp8/busmon.vhd
115
set_global_assignment -name VHDL_FILE pdp8_top.vhd
116
set_global_assignment -name VHDL_FILE oct_7seg.vhd
117
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
118
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
119
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
120
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
121
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
122
set_location_assignment PIN_R8 -to CLOCK_50
123
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
124
set_location_assignment PIN_A15 -to LED[0]
125
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
126
set_location_assignment PIN_A13 -to LED[1]
127
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
128
set_location_assignment PIN_B13 -to LED[2]
129
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
130
set_location_assignment PIN_A11 -to LED[3]
131
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
132
set_location_assignment PIN_D1 -to LED[4]
133
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
134
set_location_assignment PIN_F3 -to LED[5]
135
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
136
set_location_assignment PIN_B1 -to LED[6]
137
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
138
set_location_assignment PIN_L3 -to LED[7]
139
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
140
set_location_assignment PIN_J15 -to KEY[0]
141
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
142
set_location_assignment PIN_E1 -to KEY[1]
143
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
144
set_location_assignment PIN_M1 -to SW[0]
145
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
146
set_location_assignment PIN_T8 -to SW[1]
147
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
148
set_location_assignment PIN_B9 -to SW[2]
149
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
150
set_location_assignment PIN_M15 -to SW[3]
151
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
152
set_location_assignment PIN_H2 -to EPCS_DATA0
153
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_DATA0
154
set_location_assignment PIN_H1 -to EPCS_DCLK
155
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_DCLK
156
set_location_assignment PIN_D2 -to EPCS_NCSO
157
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_NCSO
158
set_location_assignment PIN_C1 -to EPCS_ASDO
159
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EPCS_ASDO
160
set_location_assignment PIN_A8 -to U6A_IN[0]
161
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A_IN[0]
162
set_location_assignment PIN_D3 -to U6A[0]
163
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[0]
164
set_location_assignment PIN_B8 -to U6A_IN[1]
165
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A_IN[1]
166
set_location_assignment PIN_C3 -to U6A[1]
167
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[1]
168
set_location_assignment PIN_A2 -to U6A[2]
169
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[2]
170
set_location_assignment PIN_A3 -to U6A[3]
171
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[3]
172
set_location_assignment PIN_B3 -to U6A[4]
173
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[4]
174
set_location_assignment PIN_B4 -to U6A[5]
175
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[5]
176
set_location_assignment PIN_A4 -to U6A[6]
177
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[6]
178
set_location_assignment PIN_B5 -to U6A[7]
179
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[7]
180
set_location_assignment PIN_A5 -to U6A[8]
181
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[8]
182
set_location_assignment PIN_D5 -to U6A[9]
183
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[9]
184
set_location_assignment PIN_B6 -to U6A[10]
185
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[10]
186
set_location_assignment PIN_B7 -to U6A[12]
187
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[12]
188
set_location_assignment PIN_A7 -to U6A[14]
189
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[14]
190
set_location_assignment PIN_C8 -to U6A[16]
191
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[16]
192
set_location_assignment PIN_E7 -to U6A[18]
193
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[18]
194
set_location_assignment PIN_C9 -to U6A[24]
195
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to U6A[24]
196
set_location_assignment PIN_P11 -to TTY1_RXD
197
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TTY1_RXD
198
set_location_assignment PIN_C6 -to TTY2_TXD
199
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TTY2_TXD
200
set_location_assignment PIN_E6 -to TTY2_RXD
201
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TTY2_RXD
202
set_location_assignment PIN_D8 -to LPR_TXD
203
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LPR_TXD
204
set_location_assignment PIN_F8 -to LPR_RXD
205
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LPR_RXD
206
set_location_assignment PIN_E9 -to LPR_CTS
207
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LPR_CTS
208
set_location_assignment PIN_D9 -to LPR_RTS
209
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LPR_RTS
210
set_location_assignment PIN_E10 -to PTR_TXD
211
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PTR_TXD
212
set_location_assignment PIN_B11 -to PTR_RXD
213
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PTR_RXD
214
set_location_assignment PIN_D11 -to PTR_CTS
215
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PTR_CTS
216
set_location_assignment PIN_B12 -to PTR_RTS
217
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PTR_RTS
218
set_location_assignment PIN_E8 -to USB_CLK_12MHZ
219
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to USB_CLK_12MHZ
220
set_location_assignment PIN_J16 -to RESET
221
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RESET
222
set_location_assignment PIN_E11 -to fpMISO
223
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to fpMISO
224
set_location_assignment PIN_K15 -to fpMOSI
225
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to fpMOSI
226
set_location_assignment PIN_J13 -to fpFS
227
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to fpFS
228
set_location_assignment PIN_J14 -to fpSCLK
229
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to fpSCLK
230
set_location_assignment PIN_T9 -to swLOCK
231
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swLOCK
232
set_location_assignment PIN_F13 -to swCONT
233
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swCONT
234
set_location_assignment PIN_R9 -to swBOOT
235
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swBOOT
236
set_location_assignment PIN_T15 -to swEXAM
237
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swEXAM
238
set_location_assignment PIN_T14 -to swLDADDR
239
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swLDADDR
240
set_location_assignment PIN_T13 -to swHALT
241
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swHALT
242
set_location_assignment PIN_R13 -to swLDEXTD
243
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swLDEXTD
244
set_location_assignment PIN_T12 -to swSTEP
245
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swSTEP
246
set_location_assignment PIN_T11 -to swDEP
247
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swDEP
248
set_location_assignment PIN_T10 -to swD1
249
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD1
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT0
251
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD2
252
set_location_assignment PIN_R10 -to swROT1
253
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT1
254
set_location_assignment PIN_P9 -to swROT2
255
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT2
256
set_location_assignment PIN_N11 -to swROT3
257
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT3
258
set_location_assignment PIN_N9 -to swD4
259
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD4
260
set_location_assignment PIN_L16 -to swD5
261
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD5
262
set_location_assignment PIN_K16 -to swROT4
263
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT4
264
set_location_assignment PIN_R16 -to swD6
265
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD6
266
set_location_assignment PIN_L15 -to swROT5
267
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT5
268
set_location_assignment PIN_P15 -to swD7
269
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD7
270
set_location_assignment PIN_P16 -to swROT6
271
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT6
272
set_location_assignment PIN_R14 -to swROT7
273
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swROT7
274
set_location_assignment PIN_L14 -to sdCS
275
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sdCS
276
set_location_assignment PIN_N15 -to swD8
277
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD8
278
set_location_assignment PIN_M10 -to sdCLK
279
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sdCLK
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD9
281
set_location_assignment PIN_N14 -to sdDI
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sdDI
283
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD10
284
set_location_assignment PIN_L13 -to sdDO
285
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sdDO
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD11
287
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sdCD
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sdCLEAR
289
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sdWP
290
set_location_assignment PIN_R12 -to swD0
291
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to swD0
292
set_location_assignment PIN_R11 -to TTY1_TXD
293
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TTY1_TXD
294
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.