1 |
2 |
trurl |
|
2 |
|
|
-- DO NOT EDIT THIS FILE. IT WAS CREATED ELECTRONICALLY!
|
3 |
|
|
|
4 |
|
|
-- ../rim2vhdl test.bin test.vhd
|
5 |
|
|
--------------------------------------------------------------------
|
6 |
|
|
--!
|
7 |
|
|
--! PDP-8 Processor
|
8 |
|
|
--!
|
9 |
|
|
--! \brief
|
10 |
|
|
--! MS8C Internal RAM
|
11 |
|
|
--!
|
12 |
|
|
--! \details
|
13 |
|
|
--! The RAM is initialzed as follows:
|
14 |
|
|
--! -# RK8E Bootstrap at location 0023
|
15 |
|
|
--! -# BIN Loader at location 7626-7755
|
16 |
|
|
--! -# RIM Loader at location 7756-7777
|
17 |
|
|
--!
|
18 |
|
|
--! The RK8E bootstrap process is a little odd. The code
|
19 |
|
|
--! initializes the disk controller and then enters an
|
20 |
|
|
--! inifinite loop at addreses 0030 and 0031. The memory
|
21 |
|
|
--! address that was programmed into the controller is
|
22 |
|
|
--! address 0000 so the disk writes over top of the code
|
23 |
|
|
--! that is currently executing.
|
24 |
|
|
--!
|
25 |
|
|
--! \file
|
26 |
|
|
--! ms8c.vhd
|
27 |
|
|
--!
|
28 |
|
|
--! \author
|
29 |
|
|
--! Rob Doyle - doyle (at) cox (dot) net
|
30 |
|
|
--!
|
31 |
|
|
--------------------------------------------------------------------
|
32 |
|
|
--
|
33 |
|
|
-- Copyright (C) 2009, 2010, 2011, 2012 Rob Doyle
|
34 |
|
|
--
|
35 |
|
|
-- This source file may be used and distributed without
|
36 |
|
|
-- restriction provided that this copyright statement is not
|
37 |
|
|
-- removed from the file and that any derivative work contains
|
38 |
|
|
-- the original copyright notice and the associated disclaimer.
|
39 |
|
|
--
|
40 |
|
|
-- This source file is free software; you can redistribute it
|
41 |
|
|
-- and/or modify it under the terms of the GNU Lesser General
|
42 |
|
|
-- Public License as published by the Free Software Foundation;
|
43 |
|
|
-- version 2.1 of the License.
|
44 |
|
|
--
|
45 |
|
|
-- This source is distributed in the hope that it will be
|
46 |
|
|
-- useful, but WITHOUT ANY WARRANTY; without even the implied
|
47 |
|
|
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
|
48 |
|
|
-- PURPOSE. See the GNU Lesser General Public License for more
|
49 |
|
|
-- details.
|
50 |
|
|
--
|
51 |
|
|
-- You should have received a copy of the GNU Lesser General
|
52 |
|
|
-- Public License along with this source; if not, download it
|
53 |
|
|
-- from http://www.gnu.org/licenses/lgpl.txt
|
54 |
|
|
--
|
55 |
|
|
--------------------------------------------------------------------
|
56 |
|
|
--
|
57 |
|
|
-- Comments are formatted for doxygen
|
58 |
|
|
--
|
59 |
|
|
|
60 |
|
|
library ieee; --! IEEE Library
|
61 |
|
|
use ieee.std_logic_1164.all; --! IEEE 1164
|
62 |
|
|
use ieee.numeric_std.all; --! IEEE Numeric Standard
|
63 |
|
|
use work.cpu_types.all; --! CPU Types
|
64 |
|
|
|
65 |
|
|
--
|
66 |
|
|
--! MS8C Internal RAM Entity
|
67 |
|
|
--
|
68 |
|
|
|
69 |
|
|
entity eMS8C is port (
|
70 |
|
|
sys : in sys_t; --! Clock and Reset
|
71 |
|
|
cpu : in cpu_t; --! CPU Info
|
72 |
|
|
dev : out dev_t --! Device Info
|
73 |
|
|
);
|
74 |
|
|
end eMS8C;
|
75 |
|
|
|
76 |
|
|
--
|
77 |
|
|
--! MS8C Internal RAM RTL
|
78 |
|
|
--
|
79 |
|
|
|
80 |
|
|
architecture rtl of eMS8C is
|
81 |
|
|
|
82 |
|
|
signal ramWr : std_logic;
|
83 |
|
|
signal xaddr : xaddr_t;
|
84 |
|
|
signal ramData : data_t;
|
85 |
|
|
signal cpuData : data_t;
|
86 |
|
|
|
87 |
|
|
type RAM_t is array (0 to 32767) of data_t;
|
88 |
|
|
signal RAM : RAM_t := (
|
89 |
|
|
--Boot Loaders
|
90 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00000
|
91 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00010
|
92 |
|
|
o"0000", o"0000", o"0000", o"6007", o"6744", o"1032", o"6746", o"6743", -- 00020
|
93 |
|
|
o"1032", o"5031", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00030
|
94 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00040
|
95 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00050
|
96 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00060
|
97 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00070
|
98 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00100
|
99 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00110
|
100 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00120
|
101 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00130
|
102 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00140
|
103 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00150
|
104 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00160
|
105 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00170
|
106 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00200
|
107 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00210
|
108 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00220
|
109 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00230
|
110 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00240
|
111 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00250
|
112 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00260
|
113 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00270
|
114 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00300
|
115 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00310
|
116 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00320
|
117 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00330
|
118 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00340
|
119 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00350
|
120 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00360
|
121 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00370
|
122 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00400
|
123 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00410
|
124 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00420
|
125 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00430
|
126 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00440
|
127 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00450
|
128 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00460
|
129 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00470
|
130 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00500
|
131 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00510
|
132 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00520
|
133 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00530
|
134 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00540
|
135 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00550
|
136 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00560
|
137 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00570
|
138 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00600
|
139 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00610
|
140 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00620
|
141 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00630
|
142 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00640
|
143 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00650
|
144 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00660
|
145 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00670
|
146 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00700
|
147 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00710
|
148 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00720
|
149 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00730
|
150 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00740
|
151 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00750
|
152 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00760
|
153 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00770
|
154 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01000
|
155 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01010
|
156 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01020
|
157 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01030
|
158 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01040
|
159 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01050
|
160 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01060
|
161 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01070
|
162 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01100
|
163 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01110
|
164 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01120
|
165 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01130
|
166 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01140
|
167 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01150
|
168 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01160
|
169 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01170
|
170 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01200
|
171 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01210
|
172 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01220
|
173 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01230
|
174 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01240
|
175 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01250
|
176 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01260
|
177 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01270
|
178 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01300
|
179 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01310
|
180 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01320
|
181 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01330
|
182 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01340
|
183 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01350
|
184 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01360
|
185 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01370
|
186 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01400
|
187 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01410
|
188 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01420
|
189 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01430
|
190 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01440
|
191 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01450
|
192 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01460
|
193 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01470
|
194 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01500
|
195 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01510
|
196 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01520
|
197 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01530
|
198 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01540
|
199 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01550
|
200 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01560
|
201 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01570
|
202 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01600
|
203 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01610
|
204 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01620
|
205 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01630
|
206 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01640
|
207 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01650
|
208 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01660
|
209 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01670
|
210 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01700
|
211 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01710
|
212 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01720
|
213 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01730
|
214 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01740
|
215 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01750
|
216 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01760
|
217 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01770
|
218 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02000
|
219 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02010
|
220 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02020
|
221 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02030
|
222 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02040
|
223 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02050
|
224 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02060
|
225 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02070
|
226 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02100
|
227 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02110
|
228 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02120
|
229 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02130
|
230 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02140
|
231 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02150
|
232 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02160
|
233 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02170
|
234 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02200
|
235 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02210
|
236 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02220
|
237 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02230
|
238 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02240
|
239 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02250
|
240 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02260
|
241 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02270
|
242 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02300
|
243 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02310
|
244 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02320
|
245 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02330
|
246 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02340
|
247 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02350
|
248 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02360
|
249 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02370
|
250 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02400
|
251 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02410
|
252 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02420
|
253 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02430
|
254 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02440
|
255 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02450
|
256 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02460
|
257 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02470
|
258 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02500
|
259 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02510
|
260 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02520
|
261 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02530
|
262 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02540
|
263 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02550
|
264 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02560
|
265 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02570
|
266 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02600
|
267 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02610
|
268 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02620
|
269 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02630
|
270 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02640
|
271 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02650
|
272 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02660
|
273 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02670
|
274 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02700
|
275 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02710
|
276 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02720
|
277 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02730
|
278 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02740
|
279 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02750
|
280 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02760
|
281 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02770
|
282 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03000
|
283 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03010
|
284 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03020
|
285 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03030
|
286 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03040
|
287 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03050
|
288 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03060
|
289 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03070
|
290 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03100
|
291 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03110
|
292 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03120
|
293 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03130
|
294 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03140
|
295 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03150
|
296 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03160
|
297 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03170
|
298 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03200
|
299 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03210
|
300 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03220
|
301 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03230
|
302 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03240
|
303 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03250
|
304 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03260
|
305 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03270
|
306 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03300
|
307 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03310
|
308 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03320
|
309 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03330
|
310 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03340
|
311 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03350
|
312 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03360
|
313 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03370
|
314 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03400
|
315 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03410
|
316 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03420
|
317 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03430
|
318 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03440
|
319 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03450
|
320 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03460
|
321 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03470
|
322 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03500
|
323 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03510
|
324 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03520
|
325 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03530
|
326 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03540
|
327 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03550
|
328 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03560
|
329 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03570
|
330 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03600
|
331 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03610
|
332 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03620
|
333 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03630
|
334 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03640
|
335 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03650
|
336 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03660
|
337 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03670
|
338 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03700
|
339 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03710
|
340 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03720
|
341 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03730
|
342 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03740
|
343 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03750
|
344 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03760
|
345 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03770
|
346 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04000
|
347 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04010
|
348 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04020
|
349 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04030
|
350 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04040
|
351 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04050
|
352 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04060
|
353 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04070
|
354 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04100
|
355 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04110
|
356 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04120
|
357 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04130
|
358 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04140
|
359 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04150
|
360 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04160
|
361 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04170
|
362 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04200
|
363 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04210
|
364 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04220
|
365 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04230
|
366 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04240
|
367 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04250
|
368 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04260
|
369 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04270
|
370 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04300
|
371 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04310
|
372 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04320
|
373 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04330
|
374 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04340
|
375 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04350
|
376 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04360
|
377 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04370
|
378 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04400
|
379 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04410
|
380 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04420
|
381 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04430
|
382 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04440
|
383 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04450
|
384 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04460
|
385 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04470
|
386 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04500
|
387 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04510
|
388 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04520
|
389 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04530
|
390 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04540
|
391 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04550
|
392 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04560
|
393 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04570
|
394 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04600
|
395 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04610
|
396 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04620
|
397 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04630
|
398 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04640
|
399 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04650
|
400 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04660
|
401 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04670
|
402 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04700
|
403 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04710
|
404 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04720
|
405 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04730
|
406 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04740
|
407 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04750
|
408 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04760
|
409 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04770
|
410 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05000
|
411 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05010
|
412 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05020
|
413 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05030
|
414 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05040
|
415 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05050
|
416 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05060
|
417 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05070
|
418 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05100
|
419 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05110
|
420 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05120
|
421 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05130
|
422 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05140
|
423 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05150
|
424 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05160
|
425 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05170
|
426 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05200
|
427 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05210
|
428 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05220
|
429 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05230
|
430 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05240
|
431 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05250
|
432 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05260
|
433 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05270
|
434 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05300
|
435 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05310
|
436 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05320
|
437 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05330
|
438 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05340
|
439 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05350
|
440 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05360
|
441 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05370
|
442 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05400
|
443 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05410
|
444 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05420
|
445 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05430
|
446 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05440
|
447 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05450
|
448 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05460
|
449 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05470
|
450 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05500
|
451 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05510
|
452 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05520
|
453 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05530
|
454 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05540
|
455 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05550
|
456 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05560
|
457 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05570
|
458 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05600
|
459 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05610
|
460 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05620
|
461 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05630
|
462 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05640
|
463 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05650
|
464 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05660
|
465 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05670
|
466 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05700
|
467 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05710
|
468 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05720
|
469 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05730
|
470 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05740
|
471 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05750
|
472 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05760
|
473 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05770
|
474 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06000
|
475 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06010
|
476 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06020
|
477 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06030
|
478 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06040
|
479 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06050
|
480 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06060
|
481 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06070
|
482 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06100
|
483 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06110
|
484 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06120
|
485 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06130
|
486 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06140
|
487 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06150
|
488 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06160
|
489 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06170
|
490 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06200
|
491 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06210
|
492 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06220
|
493 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06230
|
494 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06240
|
495 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06250
|
496 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06260
|
497 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06270
|
498 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06300
|
499 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06310
|
500 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06320
|
501 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06330
|
502 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06340
|
503 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06350
|
504 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06360
|
505 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06370
|
506 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06400
|
507 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06410
|
508 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06420
|
509 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06430
|
510 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06440
|
511 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06450
|
512 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06460
|
513 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06470
|
514 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06500
|
515 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06510
|
516 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06520
|
517 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06530
|
518 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06540
|
519 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06550
|
520 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06560
|
521 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06570
|
522 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06600
|
523 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06610
|
524 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06620
|
525 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06630
|
526 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06640
|
527 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06650
|
528 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06660
|
529 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06670
|
530 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06700
|
531 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06710
|
532 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06720
|
533 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06730
|
534 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06740
|
535 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06750
|
536 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06760
|
537 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06770
|
538 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07000
|
539 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07010
|
540 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07020
|
541 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07030
|
542 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07040
|
543 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07050
|
544 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07060
|
545 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07070
|
546 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07100
|
547 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07110
|
548 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07120
|
549 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07130
|
550 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07140
|
551 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07150
|
552 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07160
|
553 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07170
|
554 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07200
|
555 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07210
|
556 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07220
|
557 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07230
|
558 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07240
|
559 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07250
|
560 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07260
|
561 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07270
|
562 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07300
|
563 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07310
|
564 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07320
|
565 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07330
|
566 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07340
|
567 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07350
|
568 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07360
|
569 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07370
|
570 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07400
|
571 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07410
|
572 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07420
|
573 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07430
|
574 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07440
|
575 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07450
|
576 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07460
|
577 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07470
|
578 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07500
|
579 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07510
|
580 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07520
|
581 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07530
|
582 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07540
|
583 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07550
|
584 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07560
|
585 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07570
|
586 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07600
|
587 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07610
|
588 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"3212", -- 07620
|
589 |
|
|
o"4260", o"1300", o"7750", o"5237", o"2212", o"7040", o"5227", o"1212", -- 07630
|
590 |
|
|
o"7640", o"5230", o"1214", o"0274", o"1341", o"7510", o"2226", o"7750", -- 07640
|
591 |
|
|
o"5626", o"1214", o"0256", o"1257", o"3213", o"5230", o"0070", o"6201", -- 07650
|
592 |
|
|
o"0000", o"0000", o"6031", o"5262", o"6036", o"3214", o"1214", o"5660", -- 07660
|
593 |
|
|
o"6011", o"5270", o"6016", o"5265", o"0300", o"4343", o"7041", o"1215", -- 07670
|
594 |
|
|
o"7402", o"6032", o"6014", o"6214", o"1257", o"3213", o"7604", o"7700", -- 07700
|
595 |
|
|
o"1353", o"1352", o"3261", o"4226", o"5313", o"3215", o"1213", o"3336", -- 07710
|
596 |
|
|
o"1214", o"3376", o"4260", o"3355", o"4226", o"5275", o"4343", o"7420", -- 07720
|
597 |
|
|
o"5336", o"3216", o"1376", o"1355", o"1215", o"5315", o"0000", o"3616", -- 07730
|
598 |
|
|
o"2216", o"7600", o"5332", o"0000", o"1376", o"7106", o"7006", o"7006", -- 07740
|
599 |
|
|
o"1355", o"5743", o"5262", o"0006", o"0000", o"0000", o"6032", o"6031", -- 07750
|
600 |
|
|
o"5357", o"6036", o"7106", o"7006", o"7510", o"5357", o"7006", o"6031", -- 07760
|
601 |
|
|
o"5367", o"6034", o"7420", o"3776", o"3376", o"5356", o"0000", o"5301", -- 07770
|
602 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10000
|
603 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10010
|
604 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10020
|
605 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10030
|
606 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10040
|
607 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10050
|
608 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10060
|
609 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10070
|
610 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10100
|
611 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10110
|
612 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10120
|
613 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10130
|
614 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10140
|
615 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10150
|
616 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10160
|
617 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10170
|
618 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10200
|
619 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10210
|
620 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10220
|
621 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10230
|
622 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10240
|
623 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10250
|
624 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10260
|
625 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10270
|
626 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10300
|
627 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10310
|
628 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10320
|
629 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10330
|
630 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10340
|
631 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10350
|
632 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10360
|
633 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10370
|
634 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10400
|
635 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10410
|
636 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10420
|
637 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10430
|
638 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10440
|
639 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10450
|
640 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10460
|
641 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10470
|
642 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10500
|
643 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10510
|
644 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10520
|
645 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10530
|
646 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10540
|
647 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10550
|
648 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10560
|
649 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10570
|
650 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10600
|
651 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10610
|
652 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10620
|
653 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10630
|
654 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10640
|
655 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10650
|
656 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10660
|
657 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10670
|
658 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10700
|
659 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10710
|
660 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10720
|
661 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10730
|
662 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10740
|
663 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10750
|
664 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10760
|
665 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10770
|
666 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11000
|
667 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11010
|
668 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11020
|
669 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11030
|
670 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11040
|
671 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11050
|
672 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11060
|
673 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11070
|
674 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11100
|
675 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11110
|
676 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11120
|
677 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11130
|
678 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11140
|
679 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11150
|
680 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11160
|
681 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11170
|
682 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11200
|
683 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11210
|
684 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11220
|
685 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11230
|
686 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11240
|
687 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11250
|
688 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11260
|
689 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11270
|
690 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11300
|
691 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11310
|
692 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11320
|
693 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11330
|
694 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11340
|
695 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11350
|
696 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11360
|
697 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11370
|
698 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11400
|
699 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11410
|
700 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11420
|
701 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11430
|
702 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11440
|
703 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11450
|
704 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11460
|
705 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11470
|
706 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11500
|
707 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11510
|
708 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11520
|
709 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11530
|
710 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11540
|
711 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11550
|
712 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11560
|
713 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11570
|
714 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11600
|
715 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11610
|
716 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11620
|
717 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11630
|
718 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11640
|
719 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11650
|
720 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11660
|
721 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11670
|
722 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11700
|
723 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11710
|
724 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11720
|
725 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11730
|
726 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11740
|
727 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11750
|
728 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11760
|
729 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11770
|
730 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12000
|
731 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12010
|
732 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12020
|
733 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12030
|
734 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12040
|
735 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12050
|
736 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12060
|
737 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12070
|
738 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12100
|
739 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12110
|
740 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12120
|
741 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12130
|
742 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12140
|
743 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12150
|
744 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12160
|
745 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12170
|
746 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12200
|
747 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12210
|
748 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12220
|
749 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12230
|
750 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12240
|
751 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12250
|
752 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12260
|
753 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12270
|
754 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12300
|
755 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12310
|
756 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12320
|
757 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12330
|
758 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12340
|
759 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12350
|
760 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12360
|
761 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12370
|
762 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12400
|
763 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12410
|
764 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12420
|
765 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12430
|
766 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12440
|
767 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12450
|
768 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12460
|
769 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12470
|
770 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12500
|
771 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12510
|
772 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12520
|
773 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12530
|
774 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12540
|
775 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12550
|
776 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12560
|
777 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12570
|
778 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12600
|
779 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12610
|
780 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12620
|
781 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12630
|
782 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12640
|
783 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12650
|
784 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12660
|
785 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12670
|
786 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12700
|
787 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12710
|
788 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12720
|
789 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12730
|
790 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12740
|
791 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12750
|
792 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12760
|
793 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12770
|
794 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13000
|
795 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13010
|
796 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13020
|
797 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13030
|
798 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13040
|
799 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13050
|
800 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13060
|
801 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13070
|
802 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13100
|
803 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13110
|
804 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13120
|
805 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13130
|
806 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13140
|
807 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13150
|
808 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13160
|
809 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13170
|
810 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13200
|
811 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13210
|
812 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13220
|
813 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13230
|
814 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13240
|
815 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13250
|
816 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13260
|
817 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13270
|
818 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13300
|
819 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13310
|
820 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13320
|
821 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13330
|
822 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13340
|
823 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13350
|
824 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13360
|
825 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13370
|
826 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13400
|
827 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13410
|
828 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13420
|
829 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13430
|
830 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13440
|
831 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13450
|
832 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13460
|
833 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13470
|
834 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13500
|
835 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13510
|
836 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13520
|
837 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13530
|
838 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13540
|
839 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13550
|
840 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13560
|
841 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13570
|
842 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13600
|
843 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13610
|
844 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13620
|
845 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13630
|
846 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13640
|
847 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13650
|
848 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13660
|
849 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13670
|
850 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13700
|
851 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13710
|
852 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13720
|
853 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13730
|
854 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13740
|
855 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13750
|
856 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13760
|
857 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13770
|
858 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14000
|
859 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14010
|
860 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14020
|
861 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14030
|
862 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14040
|
863 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14050
|
864 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14060
|
865 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14070
|
866 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14100
|
867 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14110
|
868 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14120
|
869 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14130
|
870 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14140
|
871 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14150
|
872 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14160
|
873 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14170
|
874 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14200
|
875 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14210
|
876 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14220
|
877 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14230
|
878 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14240
|
879 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14250
|
880 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14260
|
881 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14270
|
882 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14300
|
883 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14310
|
884 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14320
|
885 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14330
|
886 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14340
|
887 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14350
|
888 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14360
|
889 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14370
|
890 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14400
|
891 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14410
|
892 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14420
|
893 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14430
|
894 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14440
|
895 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14450
|
896 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14460
|
897 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14470
|
898 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14500
|
899 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14510
|
900 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14520
|
901 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14530
|
902 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14540
|
903 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14550
|
904 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14560
|
905 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14570
|
906 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14600
|
907 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14610
|
908 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14620
|
909 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14630
|
910 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14640
|
911 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14650
|
912 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14660
|
913 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14670
|
914 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14700
|
915 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14710
|
916 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14720
|
917 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14730
|
918 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14740
|
919 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14750
|
920 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14760
|
921 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14770
|
922 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15000
|
923 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15010
|
924 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15020
|
925 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15030
|
926 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15040
|
927 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15050
|
928 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15060
|
929 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15070
|
930 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15100
|
931 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15110
|
932 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15120
|
933 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15130
|
934 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15140
|
935 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15150
|
936 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15160
|
937 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15170
|
938 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15200
|
939 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15210
|
940 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15220
|
941 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15230
|
942 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15240
|
943 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15250
|
944 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15260
|
945 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15270
|
946 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15300
|
947 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15310
|
948 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15320
|
949 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15330
|
950 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15340
|
951 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15350
|
952 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15360
|
953 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15370
|
954 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15400
|
955 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15410
|
956 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15420
|
957 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15430
|
958 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15440
|
959 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15450
|
960 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15460
|
961 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15470
|
962 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15500
|
963 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15510
|
964 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15520
|
965 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15530
|
966 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15540
|
967 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15550
|
968 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15560
|
969 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15570
|
970 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15600
|
971 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15610
|
972 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15620
|
973 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15630
|
974 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15640
|
975 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15650
|
976 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15660
|
977 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15670
|
978 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15700
|
979 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15710
|
980 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15720
|
981 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15730
|
982 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15740
|
983 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15750
|
984 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15760
|
985 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15770
|
986 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16000
|
987 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16010
|
988 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16020
|
989 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16030
|
990 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16040
|
991 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16050
|
992 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16060
|
993 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16070
|
994 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16100
|
995 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16110
|
996 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16120
|
997 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16130
|
998 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16140
|
999 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16150
|
1000 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16160
|
1001 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16170
|
1002 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16200
|
1003 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16210
|
1004 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16220
|
1005 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16230
|
1006 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16240
|
1007 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16250
|
1008 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16260
|
1009 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16270
|
1010 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16300
|
1011 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16310
|
1012 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16320
|
1013 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16330
|
1014 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16340
|
1015 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16350
|
1016 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16360
|
1017 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16370
|
1018 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16400
|
1019 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16410
|
1020 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16420
|
1021 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16430
|
1022 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16440
|
1023 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16450
|
1024 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16460
|
1025 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16470
|
1026 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16500
|
1027 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16510
|
1028 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16520
|
1029 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16530
|
1030 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16540
|
1031 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16550
|
1032 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16560
|
1033 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16570
|
1034 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16600
|
1035 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16610
|
1036 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16620
|
1037 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16630
|
1038 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16640
|
1039 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16650
|
1040 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16660
|
1041 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16670
|
1042 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16700
|
1043 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16710
|
1044 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16720
|
1045 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16730
|
1046 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16740
|
1047 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16750
|
1048 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16760
|
1049 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16770
|
1050 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17000
|
1051 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17010
|
1052 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17020
|
1053 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17030
|
1054 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17040
|
1055 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17050
|
1056 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17060
|
1057 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17070
|
1058 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17100
|
1059 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17110
|
1060 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17120
|
1061 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17130
|
1062 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17140
|
1063 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17150
|
1064 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17160
|
1065 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17170
|
1066 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17200
|
1067 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17210
|
1068 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17220
|
1069 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17230
|
1070 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17240
|
1071 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17250
|
1072 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17260
|
1073 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17270
|
1074 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17300
|
1075 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17310
|
1076 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17320
|
1077 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17330
|
1078 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17340
|
1079 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17350
|
1080 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17360
|
1081 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17370
|
1082 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17400
|
1083 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17410
|
1084 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17420
|
1085 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17430
|
1086 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17440
|
1087 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17450
|
1088 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17460
|
1089 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17470
|
1090 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17500
|
1091 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17510
|
1092 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17520
|
1093 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17530
|
1094 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17540
|
1095 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17550
|
1096 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17560
|
1097 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17570
|
1098 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17600
|
1099 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17610
|
1100 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17620
|
1101 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17630
|
1102 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17640
|
1103 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17650
|
1104 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17660
|
1105 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17670
|
1106 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17700
|
1107 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17710
|
1108 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17720
|
1109 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17730
|
1110 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17740
|
1111 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17750
|
1112 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17760
|
1113 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17770
|
1114 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20000
|
1115 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20010
|
1116 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20020
|
1117 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20030
|
1118 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20040
|
1119 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20050
|
1120 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20060
|
1121 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20070
|
1122 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20100
|
1123 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20110
|
1124 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20120
|
1125 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20130
|
1126 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20140
|
1127 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20150
|
1128 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20160
|
1129 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20170
|
1130 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20200
|
1131 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20210
|
1132 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20220
|
1133 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20230
|
1134 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20240
|
1135 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20250
|
1136 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20260
|
1137 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20270
|
1138 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20300
|
1139 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20310
|
1140 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20320
|
1141 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20330
|
1142 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20340
|
1143 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20350
|
1144 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20360
|
1145 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20370
|
1146 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20400
|
1147 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20410
|
1148 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20420
|
1149 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20430
|
1150 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20440
|
1151 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20450
|
1152 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20460
|
1153 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20470
|
1154 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20500
|
1155 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20510
|
1156 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20520
|
1157 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20530
|
1158 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20540
|
1159 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20550
|
1160 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20560
|
1161 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20570
|
1162 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20600
|
1163 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20610
|
1164 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20620
|
1165 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20630
|
1166 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20640
|
1167 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20650
|
1168 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20660
|
1169 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20670
|
1170 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20700
|
1171 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20710
|
1172 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20720
|
1173 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20730
|
1174 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20740
|
1175 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20750
|
1176 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20760
|
1177 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20770
|
1178 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21000
|
1179 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21010
|
1180 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21020
|
1181 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21030
|
1182 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21040
|
1183 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21050
|
1184 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21060
|
1185 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21070
|
1186 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21100
|
1187 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21110
|
1188 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21120
|
1189 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21130
|
1190 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21140
|
1191 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21150
|
1192 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21160
|
1193 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21170
|
1194 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21200
|
1195 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21210
|
1196 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21220
|
1197 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21230
|
1198 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21240
|
1199 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21250
|
1200 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21260
|
1201 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21270
|
1202 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21300
|
1203 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21310
|
1204 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21320
|
1205 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21330
|
1206 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21340
|
1207 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21350
|
1208 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21360
|
1209 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21370
|
1210 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21400
|
1211 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21410
|
1212 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21420
|
1213 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21430
|
1214 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21440
|
1215 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21450
|
1216 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21460
|
1217 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21470
|
1218 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21500
|
1219 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21510
|
1220 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21520
|
1221 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21530
|
1222 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21540
|
1223 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21550
|
1224 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21560
|
1225 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21570
|
1226 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21600
|
1227 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21610
|
1228 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21620
|
1229 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21630
|
1230 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21640
|
1231 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21650
|
1232 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21660
|
1233 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21670
|
1234 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21700
|
1235 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21710
|
1236 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21720
|
1237 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21730
|
1238 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21740
|
1239 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21750
|
1240 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21760
|
1241 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21770
|
1242 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22000
|
1243 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22010
|
1244 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22020
|
1245 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22030
|
1246 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22040
|
1247 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22050
|
1248 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22060
|
1249 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22070
|
1250 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22100
|
1251 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22110
|
1252 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22120
|
1253 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22130
|
1254 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22140
|
1255 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22150
|
1256 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22160
|
1257 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22170
|
1258 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22200
|
1259 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22210
|
1260 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22220
|
1261 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22230
|
1262 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22240
|
1263 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22250
|
1264 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22260
|
1265 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22270
|
1266 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22300
|
1267 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22310
|
1268 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22320
|
1269 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22330
|
1270 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22340
|
1271 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22350
|
1272 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22360
|
1273 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22370
|
1274 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22400
|
1275 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22410
|
1276 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22420
|
1277 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22430
|
1278 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22440
|
1279 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22450
|
1280 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22460
|
1281 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22470
|
1282 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22500
|
1283 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22510
|
1284 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22520
|
1285 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22530
|
1286 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22540
|
1287 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22550
|
1288 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22560
|
1289 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22570
|
1290 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22600
|
1291 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22610
|
1292 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22620
|
1293 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22630
|
1294 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22640
|
1295 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22650
|
1296 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22660
|
1297 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22670
|
1298 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22700
|
1299 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22710
|
1300 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22720
|
1301 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22730
|
1302 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22740
|
1303 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22750
|
1304 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22760
|
1305 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22770
|
1306 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23000
|
1307 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23010
|
1308 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23020
|
1309 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23030
|
1310 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23040
|
1311 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23050
|
1312 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23060
|
1313 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23070
|
1314 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23100
|
1315 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23110
|
1316 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23120
|
1317 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23130
|
1318 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23140
|
1319 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23150
|
1320 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23160
|
1321 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23170
|
1322 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23200
|
1323 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23210
|
1324 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23220
|
1325 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23230
|
1326 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23240
|
1327 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23250
|
1328 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23260
|
1329 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23270
|
1330 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23300
|
1331 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23310
|
1332 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23320
|
1333 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23330
|
1334 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23340
|
1335 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23350
|
1336 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23360
|
1337 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23370
|
1338 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23400
|
1339 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23410
|
1340 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23420
|
1341 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23430
|
1342 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23440
|
1343 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23450
|
1344 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23460
|
1345 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23470
|
1346 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23500
|
1347 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23510
|
1348 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23520
|
1349 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23530
|
1350 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23540
|
1351 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23550
|
1352 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23560
|
1353 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23570
|
1354 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23600
|
1355 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23610
|
1356 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23620
|
1357 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23630
|
1358 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23640
|
1359 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23650
|
1360 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23660
|
1361 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23670
|
1362 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23700
|
1363 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23710
|
1364 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23720
|
1365 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23730
|
1366 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23740
|
1367 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23750
|
1368 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23760
|
1369 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23770
|
1370 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24000
|
1371 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24010
|
1372 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24020
|
1373 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24030
|
1374 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24040
|
1375 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24050
|
1376 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24060
|
1377 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24070
|
1378 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24100
|
1379 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24110
|
1380 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24120
|
1381 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24130
|
1382 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24140
|
1383 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24150
|
1384 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24160
|
1385 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24170
|
1386 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24200
|
1387 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24210
|
1388 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24220
|
1389 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24230
|
1390 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24240
|
1391 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24250
|
1392 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24260
|
1393 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24270
|
1394 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24300
|
1395 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24310
|
1396 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24320
|
1397 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24330
|
1398 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24340
|
1399 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24350
|
1400 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24360
|
1401 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24370
|
1402 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24400
|
1403 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24410
|
1404 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24420
|
1405 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24430
|
1406 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24440
|
1407 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24450
|
1408 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24460
|
1409 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24470
|
1410 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24500
|
1411 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24510
|
1412 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24520
|
1413 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24530
|
1414 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24540
|
1415 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24550
|
1416 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24560
|
1417 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24570
|
1418 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24600
|
1419 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24610
|
1420 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24620
|
1421 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24630
|
1422 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24640
|
1423 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24650
|
1424 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24660
|
1425 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24670
|
1426 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24700
|
1427 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24710
|
1428 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24720
|
1429 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24730
|
1430 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24740
|
1431 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24750
|
1432 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24760
|
1433 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24770
|
1434 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25000
|
1435 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25010
|
1436 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25020
|
1437 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25030
|
1438 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25040
|
1439 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25050
|
1440 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25060
|
1441 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25070
|
1442 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25100
|
1443 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25110
|
1444 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25120
|
1445 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25130
|
1446 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25140
|
1447 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25150
|
1448 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25160
|
1449 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25170
|
1450 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25200
|
1451 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25210
|
1452 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25220
|
1453 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25230
|
1454 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25240
|
1455 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25250
|
1456 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25260
|
1457 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25270
|
1458 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25300
|
1459 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25310
|
1460 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25320
|
1461 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25330
|
1462 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25340
|
1463 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25350
|
1464 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25360
|
1465 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25370
|
1466 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25400
|
1467 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25410
|
1468 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25420
|
1469 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25430
|
1470 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25440
|
1471 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25450
|
1472 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25460
|
1473 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25470
|
1474 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25500
|
1475 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25510
|
1476 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25520
|
1477 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25530
|
1478 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25540
|
1479 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25550
|
1480 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25560
|
1481 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25570
|
1482 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25600
|
1483 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25610
|
1484 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25620
|
1485 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25630
|
1486 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25640
|
1487 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25650
|
1488 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25660
|
1489 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25670
|
1490 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25700
|
1491 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25710
|
1492 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25720
|
1493 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25730
|
1494 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25740
|
1495 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25750
|
1496 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25760
|
1497 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25770
|
1498 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26000
|
1499 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26010
|
1500 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26020
|
1501 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26030
|
1502 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26040
|
1503 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26050
|
1504 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26060
|
1505 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26070
|
1506 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26100
|
1507 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26110
|
1508 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26120
|
1509 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26130
|
1510 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26140
|
1511 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26150
|
1512 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26160
|
1513 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26170
|
1514 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26200
|
1515 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26210
|
1516 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26220
|
1517 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26230
|
1518 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26240
|
1519 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26250
|
1520 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26260
|
1521 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26270
|
1522 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26300
|
1523 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26310
|
1524 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26320
|
1525 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26330
|
1526 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26340
|
1527 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26350
|
1528 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26360
|
1529 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26370
|
1530 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26400
|
1531 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26410
|
1532 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26420
|
1533 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26430
|
1534 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26440
|
1535 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26450
|
1536 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26460
|
1537 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26470
|
1538 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26500
|
1539 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26510
|
1540 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26520
|
1541 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26530
|
1542 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26540
|
1543 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26550
|
1544 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26560
|
1545 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26570
|
1546 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26600
|
1547 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26610
|
1548 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26620
|
1549 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26630
|
1550 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26640
|
1551 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26650
|
1552 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26660
|
1553 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26670
|
1554 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26700
|
1555 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26710
|
1556 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26720
|
1557 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26730
|
1558 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26740
|
1559 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26750
|
1560 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26760
|
1561 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26770
|
1562 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27000
|
1563 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27010
|
1564 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27020
|
1565 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27030
|
1566 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27040
|
1567 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27050
|
1568 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27060
|
1569 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27070
|
1570 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27100
|
1571 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27110
|
1572 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27120
|
1573 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27130
|
1574 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27140
|
1575 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27150
|
1576 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27160
|
1577 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27170
|
1578 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27200
|
1579 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27210
|
1580 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27220
|
1581 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27230
|
1582 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27240
|
1583 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27250
|
1584 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27260
|
1585 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27270
|
1586 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27300
|
1587 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27310
|
1588 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27320
|
1589 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27330
|
1590 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27340
|
1591 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27350
|
1592 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27360
|
1593 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27370
|
1594 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27400
|
1595 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27410
|
1596 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27420
|
1597 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27430
|
1598 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27440
|
1599 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27450
|
1600 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27460
|
1601 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27470
|
1602 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27500
|
1603 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27510
|
1604 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27520
|
1605 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27530
|
1606 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27540
|
1607 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27550
|
1608 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27560
|
1609 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27570
|
1610 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27600
|
1611 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27610
|
1612 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27620
|
1613 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27630
|
1614 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27640
|
1615 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27650
|
1616 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27660
|
1617 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27670
|
1618 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27700
|
1619 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27710
|
1620 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27720
|
1621 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27730
|
1622 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27740
|
1623 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27750
|
1624 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27760
|
1625 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27770
|
1626 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30000
|
1627 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30010
|
1628 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30020
|
1629 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30030
|
1630 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30040
|
1631 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30050
|
1632 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30060
|
1633 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30070
|
1634 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30100
|
1635 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30110
|
1636 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30120
|
1637 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30130
|
1638 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30140
|
1639 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30150
|
1640 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30160
|
1641 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30170
|
1642 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30200
|
1643 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30210
|
1644 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30220
|
1645 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30230
|
1646 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30240
|
1647 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30250
|
1648 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30260
|
1649 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30270
|
1650 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30300
|
1651 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30310
|
1652 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30320
|
1653 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30330
|
1654 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30340
|
1655 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30350
|
1656 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30360
|
1657 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30370
|
1658 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30400
|
1659 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30410
|
1660 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30420
|
1661 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30430
|
1662 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30440
|
1663 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30450
|
1664 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30460
|
1665 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30470
|
1666 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30500
|
1667 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30510
|
1668 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30520
|
1669 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30530
|
1670 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30540
|
1671 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30550
|
1672 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30560
|
1673 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30570
|
1674 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30600
|
1675 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30610
|
1676 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30620
|
1677 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30630
|
1678 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30640
|
1679 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30650
|
1680 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30660
|
1681 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30670
|
1682 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30700
|
1683 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30710
|
1684 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30720
|
1685 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30730
|
1686 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30740
|
1687 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30750
|
1688 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30760
|
1689 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30770
|
1690 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31000
|
1691 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31010
|
1692 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31020
|
1693 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31030
|
1694 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31040
|
1695 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31050
|
1696 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31060
|
1697 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31070
|
1698 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31100
|
1699 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31110
|
1700 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31120
|
1701 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31130
|
1702 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31140
|
1703 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31150
|
1704 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31160
|
1705 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31170
|
1706 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31200
|
1707 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31210
|
1708 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31220
|
1709 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31230
|
1710 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31240
|
1711 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31250
|
1712 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31260
|
1713 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31270
|
1714 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31300
|
1715 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31310
|
1716 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31320
|
1717 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31330
|
1718 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31340
|
1719 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31350
|
1720 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31360
|
1721 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31370
|
1722 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31400
|
1723 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31410
|
1724 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31420
|
1725 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31430
|
1726 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31440
|
1727 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31450
|
1728 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31460
|
1729 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31470
|
1730 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31500
|
1731 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31510
|
1732 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31520
|
1733 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31530
|
1734 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31540
|
1735 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31550
|
1736 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31560
|
1737 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31570
|
1738 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31600
|
1739 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31610
|
1740 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31620
|
1741 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31630
|
1742 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31640
|
1743 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31650
|
1744 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31660
|
1745 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31670
|
1746 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31700
|
1747 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31710
|
1748 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31720
|
1749 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31730
|
1750 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31740
|
1751 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31750
|
1752 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31760
|
1753 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31770
|
1754 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32000
|
1755 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32010
|
1756 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32020
|
1757 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32030
|
1758 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32040
|
1759 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32050
|
1760 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32060
|
1761 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32070
|
1762 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32100
|
1763 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32110
|
1764 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32120
|
1765 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32130
|
1766 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32140
|
1767 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32150
|
1768 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32160
|
1769 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32170
|
1770 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32200
|
1771 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32210
|
1772 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32220
|
1773 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32230
|
1774 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32240
|
1775 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32250
|
1776 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32260
|
1777 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32270
|
1778 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32300
|
1779 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32310
|
1780 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32320
|
1781 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32330
|
1782 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32340
|
1783 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32350
|
1784 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32360
|
1785 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32370
|
1786 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32400
|
1787 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32410
|
1788 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32420
|
1789 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32430
|
1790 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32440
|
1791 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32450
|
1792 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32460
|
1793 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32470
|
1794 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32500
|
1795 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32510
|
1796 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32520
|
1797 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32530
|
1798 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32540
|
1799 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32550
|
1800 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32560
|
1801 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32570
|
1802 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32600
|
1803 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32610
|
1804 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32620
|
1805 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32630
|
1806 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32640
|
1807 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32650
|
1808 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32660
|
1809 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32670
|
1810 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32700
|
1811 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32710
|
1812 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32720
|
1813 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32730
|
1814 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32740
|
1815 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32750
|
1816 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32760
|
1817 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32770
|
1818 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33000
|
1819 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33010
|
1820 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33020
|
1821 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33030
|
1822 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33040
|
1823 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33050
|
1824 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33060
|
1825 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33070
|
1826 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33100
|
1827 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33110
|
1828 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33120
|
1829 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33130
|
1830 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33140
|
1831 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33150
|
1832 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33160
|
1833 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33170
|
1834 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33200
|
1835 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33210
|
1836 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33220
|
1837 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33230
|
1838 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33240
|
1839 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33250
|
1840 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33260
|
1841 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33270
|
1842 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33300
|
1843 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33310
|
1844 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33320
|
1845 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33330
|
1846 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33340
|
1847 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33350
|
1848 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33360
|
1849 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33370
|
1850 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33400
|
1851 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33410
|
1852 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33420
|
1853 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33430
|
1854 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33440
|
1855 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33450
|
1856 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33460
|
1857 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33470
|
1858 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33500
|
1859 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33510
|
1860 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33520
|
1861 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33530
|
1862 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33540
|
1863 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33550
|
1864 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33560
|
1865 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33570
|
1866 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33600
|
1867 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33610
|
1868 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33620
|
1869 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33630
|
1870 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33640
|
1871 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33650
|
1872 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33660
|
1873 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33670
|
1874 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33700
|
1875 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33710
|
1876 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33720
|
1877 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33730
|
1878 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33740
|
1879 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33750
|
1880 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33760
|
1881 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33770
|
1882 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34000
|
1883 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34010
|
1884 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34020
|
1885 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34030
|
1886 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34040
|
1887 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34050
|
1888 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34060
|
1889 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34070
|
1890 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34100
|
1891 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34110
|
1892 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34120
|
1893 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34130
|
1894 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34140
|
1895 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34150
|
1896 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34160
|
1897 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34170
|
1898 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34200
|
1899 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34210
|
1900 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34220
|
1901 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34230
|
1902 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34240
|
1903 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34250
|
1904 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34260
|
1905 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34270
|
1906 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34300
|
1907 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34310
|
1908 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34320
|
1909 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34330
|
1910 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34340
|
1911 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34350
|
1912 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34360
|
1913 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34370
|
1914 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34400
|
1915 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34410
|
1916 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34420
|
1917 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34430
|
1918 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34440
|
1919 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34450
|
1920 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34460
|
1921 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34470
|
1922 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34500
|
1923 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34510
|
1924 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34520
|
1925 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34530
|
1926 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34540
|
1927 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34550
|
1928 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34560
|
1929 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34570
|
1930 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34600
|
1931 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34610
|
1932 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34620
|
1933 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34630
|
1934 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34640
|
1935 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34650
|
1936 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34660
|
1937 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34670
|
1938 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34700
|
1939 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34710
|
1940 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34720
|
1941 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34730
|
1942 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34740
|
1943 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34750
|
1944 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34760
|
1945 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34770
|
1946 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35000
|
1947 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35010
|
1948 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35020
|
1949 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35030
|
1950 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35040
|
1951 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35050
|
1952 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35060
|
1953 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35070
|
1954 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35100
|
1955 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35110
|
1956 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35120
|
1957 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35130
|
1958 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35140
|
1959 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35150
|
1960 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35160
|
1961 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35170
|
1962 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35200
|
1963 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35210
|
1964 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35220
|
1965 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35230
|
1966 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35240
|
1967 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35250
|
1968 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35260
|
1969 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35270
|
1970 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35300
|
1971 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35310
|
1972 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35320
|
1973 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35330
|
1974 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35340
|
1975 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35350
|
1976 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35360
|
1977 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35370
|
1978 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35400
|
1979 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35410
|
1980 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35420
|
1981 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35430
|
1982 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35440
|
1983 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35450
|
1984 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35460
|
1985 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35470
|
1986 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35500
|
1987 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35510
|
1988 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35520
|
1989 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35530
|
1990 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35540
|
1991 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35550
|
1992 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35560
|
1993 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35570
|
1994 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35600
|
1995 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35610
|
1996 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35620
|
1997 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35630
|
1998 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35640
|
1999 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35650
|
2000 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35660
|
2001 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35670
|
2002 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35700
|
2003 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35710
|
2004 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35720
|
2005 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35730
|
2006 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35740
|
2007 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35750
|
2008 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35760
|
2009 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35770
|
2010 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36000
|
2011 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36010
|
2012 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36020
|
2013 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36030
|
2014 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36040
|
2015 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36050
|
2016 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36060
|
2017 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36070
|
2018 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36100
|
2019 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36110
|
2020 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36120
|
2021 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36130
|
2022 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36140
|
2023 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36150
|
2024 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36160
|
2025 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36170
|
2026 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36200
|
2027 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36210
|
2028 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36220
|
2029 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36230
|
2030 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36240
|
2031 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36250
|
2032 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36260
|
2033 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36270
|
2034 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36300
|
2035 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36310
|
2036 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36320
|
2037 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36330
|
2038 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36340
|
2039 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36350
|
2040 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36360
|
2041 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36370
|
2042 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36400
|
2043 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36410
|
2044 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36420
|
2045 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36430
|
2046 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36440
|
2047 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36450
|
2048 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36460
|
2049 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36470
|
2050 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36500
|
2051 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36510
|
2052 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36520
|
2053 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36530
|
2054 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36540
|
2055 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36550
|
2056 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36560
|
2057 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36570
|
2058 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36600
|
2059 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36610
|
2060 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36620
|
2061 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36630
|
2062 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36640
|
2063 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36650
|
2064 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36660
|
2065 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36670
|
2066 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36700
|
2067 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36710
|
2068 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36720
|
2069 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36730
|
2070 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36740
|
2071 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36750
|
2072 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36760
|
2073 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36770
|
2074 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37000
|
2075 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37010
|
2076 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37020
|
2077 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37030
|
2078 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37040
|
2079 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37050
|
2080 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37060
|
2081 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37070
|
2082 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37100
|
2083 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37110
|
2084 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37120
|
2085 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37130
|
2086 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37140
|
2087 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37150
|
2088 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37160
|
2089 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37170
|
2090 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37200
|
2091 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37210
|
2092 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37220
|
2093 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37230
|
2094 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37240
|
2095 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37250
|
2096 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37260
|
2097 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37270
|
2098 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37300
|
2099 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37310
|
2100 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37320
|
2101 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37330
|
2102 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37340
|
2103 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37350
|
2104 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37360
|
2105 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37370
|
2106 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37400
|
2107 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37410
|
2108 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37420
|
2109 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37430
|
2110 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37440
|
2111 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37450
|
2112 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37460
|
2113 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37470
|
2114 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37500
|
2115 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37510
|
2116 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37520
|
2117 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37530
|
2118 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37540
|
2119 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37550
|
2120 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37560
|
2121 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37570
|
2122 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37600
|
2123 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37610
|
2124 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37620
|
2125 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37630
|
2126 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37640
|
2127 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37650
|
2128 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37660
|
2129 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37670
|
2130 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37700
|
2131 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37710
|
2132 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37720
|
2133 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37730
|
2134 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37740
|
2135 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37750
|
2136 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37760
|
2137 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37770
|
2138 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40000
|
2139 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40010
|
2140 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40020
|
2141 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40030
|
2142 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40040
|
2143 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40050
|
2144 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40060
|
2145 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40070
|
2146 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40100
|
2147 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40110
|
2148 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40120
|
2149 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40130
|
2150 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40140
|
2151 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40150
|
2152 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40160
|
2153 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40170
|
2154 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40200
|
2155 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40210
|
2156 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40220
|
2157 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40230
|
2158 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40240
|
2159 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40250
|
2160 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40260
|
2161 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40270
|
2162 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40300
|
2163 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40310
|
2164 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40320
|
2165 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40330
|
2166 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40340
|
2167 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40350
|
2168 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40360
|
2169 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40370
|
2170 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40400
|
2171 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40410
|
2172 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40420
|
2173 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40430
|
2174 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40440
|
2175 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40450
|
2176 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40460
|
2177 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40470
|
2178 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40500
|
2179 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40510
|
2180 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40520
|
2181 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40530
|
2182 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40540
|
2183 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40550
|
2184 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40560
|
2185 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40570
|
2186 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40600
|
2187 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40610
|
2188 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40620
|
2189 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40630
|
2190 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40640
|
2191 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40650
|
2192 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40660
|
2193 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40670
|
2194 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40700
|
2195 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40710
|
2196 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40720
|
2197 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40730
|
2198 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40740
|
2199 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40750
|
2200 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40760
|
2201 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40770
|
2202 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41000
|
2203 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41010
|
2204 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41020
|
2205 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41030
|
2206 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41040
|
2207 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41050
|
2208 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41060
|
2209 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41070
|
2210 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41100
|
2211 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41110
|
2212 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41120
|
2213 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41130
|
2214 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41140
|
2215 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41150
|
2216 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41160
|
2217 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41170
|
2218 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41200
|
2219 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41210
|
2220 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41220
|
2221 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41230
|
2222 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41240
|
2223 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41250
|
2224 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41260
|
2225 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41270
|
2226 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41300
|
2227 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41310
|
2228 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41320
|
2229 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41330
|
2230 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41340
|
2231 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41350
|
2232 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41360
|
2233 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41370
|
2234 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41400
|
2235 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41410
|
2236 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41420
|
2237 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41430
|
2238 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41440
|
2239 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41450
|
2240 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41460
|
2241 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41470
|
2242 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41500
|
2243 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41510
|
2244 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41520
|
2245 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41530
|
2246 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41540
|
2247 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41550
|
2248 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41560
|
2249 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41570
|
2250 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41600
|
2251 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41610
|
2252 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41620
|
2253 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41630
|
2254 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41640
|
2255 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41650
|
2256 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41660
|
2257 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41670
|
2258 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41700
|
2259 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41710
|
2260 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41720
|
2261 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41730
|
2262 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41740
|
2263 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41750
|
2264 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41760
|
2265 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41770
|
2266 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42000
|
2267 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42010
|
2268 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42020
|
2269 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42030
|
2270 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42040
|
2271 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42050
|
2272 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42060
|
2273 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42070
|
2274 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42100
|
2275 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42110
|
2276 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42120
|
2277 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42130
|
2278 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42140
|
2279 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42150
|
2280 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42160
|
2281 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42170
|
2282 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42200
|
2283 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42210
|
2284 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42220
|
2285 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42230
|
2286 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42240
|
2287 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42250
|
2288 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42260
|
2289 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42270
|
2290 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42300
|
2291 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42310
|
2292 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42320
|
2293 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42330
|
2294 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42340
|
2295 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42350
|
2296 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42360
|
2297 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42370
|
2298 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42400
|
2299 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42410
|
2300 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42420
|
2301 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42430
|
2302 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42440
|
2303 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42450
|
2304 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42460
|
2305 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42470
|
2306 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42500
|
2307 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42510
|
2308 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42520
|
2309 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42530
|
2310 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42540
|
2311 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42550
|
2312 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42560
|
2313 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42570
|
2314 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42600
|
2315 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42610
|
2316 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42620
|
2317 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42630
|
2318 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42640
|
2319 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42650
|
2320 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42660
|
2321 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42670
|
2322 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42700
|
2323 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42710
|
2324 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42720
|
2325 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42730
|
2326 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42740
|
2327 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42750
|
2328 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42760
|
2329 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42770
|
2330 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43000
|
2331 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43010
|
2332 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43020
|
2333 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43030
|
2334 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43040
|
2335 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43050
|
2336 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43060
|
2337 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43070
|
2338 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43100
|
2339 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43110
|
2340 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43120
|
2341 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43130
|
2342 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43140
|
2343 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43150
|
2344 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43160
|
2345 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43170
|
2346 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43200
|
2347 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43210
|
2348 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43220
|
2349 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43230
|
2350 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43240
|
2351 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43250
|
2352 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43260
|
2353 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43270
|
2354 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43300
|
2355 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43310
|
2356 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43320
|
2357 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43330
|
2358 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43340
|
2359 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43350
|
2360 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43360
|
2361 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43370
|
2362 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43400
|
2363 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43410
|
2364 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43420
|
2365 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43430
|
2366 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43440
|
2367 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43450
|
2368 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43460
|
2369 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43470
|
2370 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43500
|
2371 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43510
|
2372 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43520
|
2373 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43530
|
2374 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43540
|
2375 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43550
|
2376 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43560
|
2377 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43570
|
2378 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43600
|
2379 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43610
|
2380 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43620
|
2381 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43630
|
2382 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43640
|
2383 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43650
|
2384 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43660
|
2385 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43670
|
2386 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43700
|
2387 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43710
|
2388 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43720
|
2389 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43730
|
2390 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43740
|
2391 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43750
|
2392 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43760
|
2393 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43770
|
2394 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44000
|
2395 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44010
|
2396 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44020
|
2397 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44030
|
2398 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44040
|
2399 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44050
|
2400 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44060
|
2401 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44070
|
2402 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44100
|
2403 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44110
|
2404 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44120
|
2405 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44130
|
2406 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44140
|
2407 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44150
|
2408 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44160
|
2409 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44170
|
2410 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44200
|
2411 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44210
|
2412 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44220
|
2413 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44230
|
2414 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44240
|
2415 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44250
|
2416 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44260
|
2417 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44270
|
2418 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44300
|
2419 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44310
|
2420 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44320
|
2421 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44330
|
2422 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44340
|
2423 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44350
|
2424 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44360
|
2425 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44370
|
2426 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44400
|
2427 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44410
|
2428 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44420
|
2429 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44430
|
2430 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44440
|
2431 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44450
|
2432 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44460
|
2433 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44470
|
2434 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44500
|
2435 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44510
|
2436 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44520
|
2437 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44530
|
2438 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44540
|
2439 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44550
|
2440 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44560
|
2441 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44570
|
2442 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44600
|
2443 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44610
|
2444 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44620
|
2445 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44630
|
2446 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44640
|
2447 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44650
|
2448 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44660
|
2449 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44670
|
2450 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44700
|
2451 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44710
|
2452 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44720
|
2453 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44730
|
2454 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44740
|
2455 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44750
|
2456 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44760
|
2457 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44770
|
2458 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45000
|
2459 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45010
|
2460 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45020
|
2461 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45030
|
2462 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45040
|
2463 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45050
|
2464 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45060
|
2465 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45070
|
2466 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45100
|
2467 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45110
|
2468 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45120
|
2469 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45130
|
2470 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45140
|
2471 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45150
|
2472 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45160
|
2473 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45170
|
2474 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45200
|
2475 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45210
|
2476 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45220
|
2477 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45230
|
2478 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45240
|
2479 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45250
|
2480 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45260
|
2481 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45270
|
2482 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45300
|
2483 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45310
|
2484 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45320
|
2485 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45330
|
2486 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45340
|
2487 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45350
|
2488 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45360
|
2489 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45370
|
2490 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45400
|
2491 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45410
|
2492 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45420
|
2493 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45430
|
2494 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45440
|
2495 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45450
|
2496 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45460
|
2497 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45470
|
2498 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45500
|
2499 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45510
|
2500 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45520
|
2501 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45530
|
2502 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45540
|
2503 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45550
|
2504 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45560
|
2505 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45570
|
2506 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45600
|
2507 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45610
|
2508 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45620
|
2509 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45630
|
2510 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45640
|
2511 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45650
|
2512 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45660
|
2513 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45670
|
2514 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45700
|
2515 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45710
|
2516 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45720
|
2517 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45730
|
2518 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45740
|
2519 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45750
|
2520 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45760
|
2521 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45770
|
2522 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46000
|
2523 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46010
|
2524 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46020
|
2525 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46030
|
2526 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46040
|
2527 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46050
|
2528 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46060
|
2529 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46070
|
2530 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46100
|
2531 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46110
|
2532 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46120
|
2533 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46130
|
2534 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46140
|
2535 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46150
|
2536 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46160
|
2537 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46170
|
2538 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46200
|
2539 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46210
|
2540 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46220
|
2541 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46230
|
2542 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46240
|
2543 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46250
|
2544 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46260
|
2545 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46270
|
2546 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46300
|
2547 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46310
|
2548 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46320
|
2549 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46330
|
2550 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46340
|
2551 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46350
|
2552 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46360
|
2553 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46370
|
2554 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46400
|
2555 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46410
|
2556 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46420
|
2557 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46430
|
2558 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46440
|
2559 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46450
|
2560 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46460
|
2561 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46470
|
2562 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46500
|
2563 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46510
|
2564 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46520
|
2565 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46530
|
2566 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46540
|
2567 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46550
|
2568 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46560
|
2569 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46570
|
2570 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46600
|
2571 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46610
|
2572 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46620
|
2573 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46630
|
2574 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46640
|
2575 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46650
|
2576 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46660
|
2577 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46670
|
2578 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46700
|
2579 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46710
|
2580 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46720
|
2581 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46730
|
2582 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46740
|
2583 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46750
|
2584 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46760
|
2585 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46770
|
2586 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47000
|
2587 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47010
|
2588 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47020
|
2589 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47030
|
2590 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47040
|
2591 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47050
|
2592 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47060
|
2593 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47070
|
2594 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47100
|
2595 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47110
|
2596 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47120
|
2597 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47130
|
2598 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47140
|
2599 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47150
|
2600 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47160
|
2601 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47170
|
2602 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47200
|
2603 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47210
|
2604 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47220
|
2605 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47230
|
2606 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47240
|
2607 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47250
|
2608 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47260
|
2609 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47270
|
2610 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47300
|
2611 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47310
|
2612 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47320
|
2613 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47330
|
2614 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47340
|
2615 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47350
|
2616 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47360
|
2617 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47370
|
2618 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47400
|
2619 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47410
|
2620 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47420
|
2621 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47430
|
2622 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47440
|
2623 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47450
|
2624 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47460
|
2625 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47470
|
2626 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47500
|
2627 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47510
|
2628 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47520
|
2629 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47530
|
2630 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47540
|
2631 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47550
|
2632 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47560
|
2633 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47570
|
2634 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47600
|
2635 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47610
|
2636 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47620
|
2637 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47630
|
2638 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47640
|
2639 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47650
|
2640 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47660
|
2641 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47670
|
2642 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47700
|
2643 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47710
|
2644 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47720
|
2645 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47730
|
2646 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47740
|
2647 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47750
|
2648 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47760
|
2649 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47770
|
2650 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50000
|
2651 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50010
|
2652 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50020
|
2653 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50030
|
2654 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50040
|
2655 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50050
|
2656 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50060
|
2657 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50070
|
2658 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50100
|
2659 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50110
|
2660 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50120
|
2661 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50130
|
2662 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50140
|
2663 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50150
|
2664 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50160
|
2665 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50170
|
2666 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50200
|
2667 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50210
|
2668 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50220
|
2669 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50230
|
2670 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50240
|
2671 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50250
|
2672 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50260
|
2673 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50270
|
2674 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50300
|
2675 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50310
|
2676 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50320
|
2677 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50330
|
2678 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50340
|
2679 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50350
|
2680 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50360
|
2681 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50370
|
2682 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50400
|
2683 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50410
|
2684 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50420
|
2685 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50430
|
2686 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50440
|
2687 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50450
|
2688 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50460
|
2689 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50470
|
2690 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50500
|
2691 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50510
|
2692 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50520
|
2693 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50530
|
2694 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50540
|
2695 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50550
|
2696 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50560
|
2697 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50570
|
2698 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50600
|
2699 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50610
|
2700 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50620
|
2701 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50630
|
2702 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50640
|
2703 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50650
|
2704 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50660
|
2705 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50670
|
2706 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50700
|
2707 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50710
|
2708 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50720
|
2709 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50730
|
2710 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50740
|
2711 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50750
|
2712 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50760
|
2713 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50770
|
2714 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51000
|
2715 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51010
|
2716 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51020
|
2717 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51030
|
2718 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51040
|
2719 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51050
|
2720 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51060
|
2721 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51070
|
2722 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51100
|
2723 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51110
|
2724 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51120
|
2725 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51130
|
2726 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51140
|
2727 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51150
|
2728 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51160
|
2729 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51170
|
2730 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51200
|
2731 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51210
|
2732 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51220
|
2733 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51230
|
2734 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51240
|
2735 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51250
|
2736 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51260
|
2737 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51270
|
2738 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51300
|
2739 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51310
|
2740 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51320
|
2741 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51330
|
2742 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51340
|
2743 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51350
|
2744 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51360
|
2745 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51370
|
2746 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51400
|
2747 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51410
|
2748 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51420
|
2749 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51430
|
2750 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51440
|
2751 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51450
|
2752 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51460
|
2753 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51470
|
2754 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51500
|
2755 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51510
|
2756 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51520
|
2757 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51530
|
2758 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51540
|
2759 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51550
|
2760 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51560
|
2761 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51570
|
2762 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51600
|
2763 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51610
|
2764 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51620
|
2765 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51630
|
2766 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51640
|
2767 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51650
|
2768 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51660
|
2769 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51670
|
2770 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51700
|
2771 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51710
|
2772 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51720
|
2773 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51730
|
2774 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51740
|
2775 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51750
|
2776 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51760
|
2777 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51770
|
2778 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52000
|
2779 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52010
|
2780 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52020
|
2781 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52030
|
2782 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52040
|
2783 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52050
|
2784 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52060
|
2785 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52070
|
2786 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52100
|
2787 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52110
|
2788 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52120
|
2789 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52130
|
2790 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52140
|
2791 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52150
|
2792 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52160
|
2793 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52170
|
2794 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52200
|
2795 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52210
|
2796 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52220
|
2797 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52230
|
2798 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52240
|
2799 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52250
|
2800 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52260
|
2801 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52270
|
2802 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52300
|
2803 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52310
|
2804 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52320
|
2805 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52330
|
2806 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52340
|
2807 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52350
|
2808 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52360
|
2809 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52370
|
2810 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52400
|
2811 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52410
|
2812 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52420
|
2813 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52430
|
2814 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52440
|
2815 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52450
|
2816 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52460
|
2817 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52470
|
2818 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52500
|
2819 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52510
|
2820 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52520
|
2821 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52530
|
2822 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52540
|
2823 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52550
|
2824 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52560
|
2825 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52570
|
2826 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52600
|
2827 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52610
|
2828 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52620
|
2829 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52630
|
2830 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52640
|
2831 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52650
|
2832 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52660
|
2833 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52670
|
2834 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52700
|
2835 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52710
|
2836 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52720
|
2837 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52730
|
2838 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52740
|
2839 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52750
|
2840 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52760
|
2841 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52770
|
2842 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53000
|
2843 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53010
|
2844 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53020
|
2845 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53030
|
2846 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53040
|
2847 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53050
|
2848 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53060
|
2849 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53070
|
2850 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53100
|
2851 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53110
|
2852 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53120
|
2853 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53130
|
2854 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53140
|
2855 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53150
|
2856 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53160
|
2857 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53170
|
2858 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53200
|
2859 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53210
|
2860 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53220
|
2861 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53230
|
2862 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53240
|
2863 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53250
|
2864 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53260
|
2865 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53270
|
2866 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53300
|
2867 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53310
|
2868 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53320
|
2869 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53330
|
2870 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53340
|
2871 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53350
|
2872 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53360
|
2873 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53370
|
2874 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53400
|
2875 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53410
|
2876 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53420
|
2877 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53430
|
2878 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53440
|
2879 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53450
|
2880 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53460
|
2881 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53470
|
2882 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53500
|
2883 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53510
|
2884 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53520
|
2885 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53530
|
2886 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53540
|
2887 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53550
|
2888 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53560
|
2889 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53570
|
2890 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53600
|
2891 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53610
|
2892 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53620
|
2893 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53630
|
2894 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53640
|
2895 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53650
|
2896 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53660
|
2897 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53670
|
2898 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53700
|
2899 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53710
|
2900 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53720
|
2901 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53730
|
2902 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53740
|
2903 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53750
|
2904 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53760
|
2905 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53770
|
2906 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54000
|
2907 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54010
|
2908 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54020
|
2909 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54030
|
2910 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54040
|
2911 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54050
|
2912 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54060
|
2913 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54070
|
2914 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54100
|
2915 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54110
|
2916 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54120
|
2917 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54130
|
2918 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54140
|
2919 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54150
|
2920 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54160
|
2921 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54170
|
2922 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54200
|
2923 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54210
|
2924 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54220
|
2925 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54230
|
2926 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54240
|
2927 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54250
|
2928 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54260
|
2929 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54270
|
2930 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54300
|
2931 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54310
|
2932 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54320
|
2933 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54330
|
2934 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54340
|
2935 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54350
|
2936 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54360
|
2937 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54370
|
2938 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54400
|
2939 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54410
|
2940 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54420
|
2941 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54430
|
2942 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54440
|
2943 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54450
|
2944 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54460
|
2945 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54470
|
2946 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54500
|
2947 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54510
|
2948 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54520
|
2949 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54530
|
2950 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54540
|
2951 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54550
|
2952 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54560
|
2953 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54570
|
2954 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54600
|
2955 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54610
|
2956 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54620
|
2957 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54630
|
2958 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54640
|
2959 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54650
|
2960 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54660
|
2961 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54670
|
2962 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54700
|
2963 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54710
|
2964 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54720
|
2965 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54730
|
2966 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54740
|
2967 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54750
|
2968 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54760
|
2969 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54770
|
2970 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55000
|
2971 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55010
|
2972 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55020
|
2973 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55030
|
2974 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55040
|
2975 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55050
|
2976 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55060
|
2977 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55070
|
2978 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55100
|
2979 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55110
|
2980 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55120
|
2981 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55130
|
2982 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55140
|
2983 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55150
|
2984 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55160
|
2985 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55170
|
2986 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55200
|
2987 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55210
|
2988 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55220
|
2989 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55230
|
2990 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55240
|
2991 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55250
|
2992 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55260
|
2993 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55270
|
2994 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55300
|
2995 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55310
|
2996 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55320
|
2997 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55330
|
2998 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55340
|
2999 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55350
|
3000 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55360
|
3001 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55370
|
3002 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55400
|
3003 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55410
|
3004 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55420
|
3005 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55430
|
3006 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55440
|
3007 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55450
|
3008 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55460
|
3009 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55470
|
3010 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55500
|
3011 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55510
|
3012 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55520
|
3013 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55530
|
3014 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55540
|
3015 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55550
|
3016 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55560
|
3017 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55570
|
3018 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55600
|
3019 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55610
|
3020 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55620
|
3021 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55630
|
3022 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55640
|
3023 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55650
|
3024 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55660
|
3025 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55670
|
3026 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55700
|
3027 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55710
|
3028 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55720
|
3029 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55730
|
3030 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55740
|
3031 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55750
|
3032 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55760
|
3033 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55770
|
3034 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56000
|
3035 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56010
|
3036 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56020
|
3037 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56030
|
3038 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56040
|
3039 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56050
|
3040 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56060
|
3041 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56070
|
3042 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56100
|
3043 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56110
|
3044 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56120
|
3045 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56130
|
3046 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56140
|
3047 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56150
|
3048 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56160
|
3049 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56170
|
3050 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56200
|
3051 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56210
|
3052 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56220
|
3053 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56230
|
3054 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56240
|
3055 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56250
|
3056 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56260
|
3057 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56270
|
3058 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56300
|
3059 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56310
|
3060 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56320
|
3061 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56330
|
3062 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56340
|
3063 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56350
|
3064 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56360
|
3065 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56370
|
3066 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56400
|
3067 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56410
|
3068 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56420
|
3069 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56430
|
3070 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56440
|
3071 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56450
|
3072 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56460
|
3073 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56470
|
3074 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56500
|
3075 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56510
|
3076 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56520
|
3077 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56530
|
3078 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56540
|
3079 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56550
|
3080 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56560
|
3081 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56570
|
3082 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56600
|
3083 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56610
|
3084 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56620
|
3085 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56630
|
3086 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56640
|
3087 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56650
|
3088 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56660
|
3089 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56670
|
3090 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56700
|
3091 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56710
|
3092 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56720
|
3093 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56730
|
3094 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56740
|
3095 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56750
|
3096 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56760
|
3097 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56770
|
3098 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57000
|
3099 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57010
|
3100 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57020
|
3101 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57030
|
3102 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57040
|
3103 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57050
|
3104 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57060
|
3105 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57070
|
3106 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57100
|
3107 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57110
|
3108 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57120
|
3109 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57130
|
3110 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57140
|
3111 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57150
|
3112 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57160
|
3113 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57170
|
3114 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57200
|
3115 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57210
|
3116 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57220
|
3117 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57230
|
3118 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57240
|
3119 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57250
|
3120 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57260
|
3121 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57270
|
3122 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57300
|
3123 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57310
|
3124 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57320
|
3125 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57330
|
3126 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57340
|
3127 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57350
|
3128 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57360
|
3129 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57370
|
3130 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57400
|
3131 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57410
|
3132 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57420
|
3133 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57430
|
3134 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57440
|
3135 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57450
|
3136 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57460
|
3137 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57470
|
3138 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57500
|
3139 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57510
|
3140 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57520
|
3141 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57530
|
3142 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57540
|
3143 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57550
|
3144 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57560
|
3145 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57570
|
3146 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57600
|
3147 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57610
|
3148 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57620
|
3149 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57630
|
3150 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57640
|
3151 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57650
|
3152 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57660
|
3153 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57670
|
3154 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57700
|
3155 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57710
|
3156 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57720
|
3157 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57730
|
3158 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57740
|
3159 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57750
|
3160 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57760
|
3161 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57770
|
3162 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60000
|
3163 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60010
|
3164 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60020
|
3165 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60030
|
3166 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60040
|
3167 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60050
|
3168 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60060
|
3169 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60070
|
3170 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60100
|
3171 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60110
|
3172 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60120
|
3173 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60130
|
3174 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60140
|
3175 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60150
|
3176 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60160
|
3177 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60170
|
3178 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60200
|
3179 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60210
|
3180 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60220
|
3181 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60230
|
3182 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60240
|
3183 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60250
|
3184 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60260
|
3185 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60270
|
3186 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60300
|
3187 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60310
|
3188 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60320
|
3189 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60330
|
3190 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60340
|
3191 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60350
|
3192 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60360
|
3193 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60370
|
3194 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60400
|
3195 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60410
|
3196 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60420
|
3197 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60430
|
3198 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60440
|
3199 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60450
|
3200 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60460
|
3201 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60470
|
3202 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60500
|
3203 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60510
|
3204 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60520
|
3205 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60530
|
3206 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60540
|
3207 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60550
|
3208 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60560
|
3209 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60570
|
3210 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60600
|
3211 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60610
|
3212 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60620
|
3213 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60630
|
3214 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60640
|
3215 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60650
|
3216 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60660
|
3217 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60670
|
3218 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60700
|
3219 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60710
|
3220 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60720
|
3221 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60730
|
3222 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60740
|
3223 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60750
|
3224 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60760
|
3225 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60770
|
3226 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61000
|
3227 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61010
|
3228 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61020
|
3229 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61030
|
3230 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61040
|
3231 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61050
|
3232 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61060
|
3233 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61070
|
3234 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61100
|
3235 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61110
|
3236 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61120
|
3237 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61130
|
3238 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61140
|
3239 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61150
|
3240 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61160
|
3241 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61170
|
3242 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61200
|
3243 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61210
|
3244 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61220
|
3245 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61230
|
3246 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61240
|
3247 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61250
|
3248 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61260
|
3249 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61270
|
3250 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61300
|
3251 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61310
|
3252 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61320
|
3253 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61330
|
3254 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61340
|
3255 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61350
|
3256 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61360
|
3257 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61370
|
3258 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61400
|
3259 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61410
|
3260 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61420
|
3261 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61430
|
3262 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61440
|
3263 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61450
|
3264 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61460
|
3265 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61470
|
3266 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61500
|
3267 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61510
|
3268 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61520
|
3269 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61530
|
3270 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61540
|
3271 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61550
|
3272 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61560
|
3273 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61570
|
3274 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61600
|
3275 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61610
|
3276 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61620
|
3277 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61630
|
3278 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61640
|
3279 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61650
|
3280 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61660
|
3281 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61670
|
3282 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61700
|
3283 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61710
|
3284 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61720
|
3285 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61730
|
3286 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61740
|
3287 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61750
|
3288 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61760
|
3289 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61770
|
3290 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62000
|
3291 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62010
|
3292 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62020
|
3293 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62030
|
3294 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62040
|
3295 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62050
|
3296 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62060
|
3297 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62070
|
3298 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62100
|
3299 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62110
|
3300 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62120
|
3301 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62130
|
3302 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62140
|
3303 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62150
|
3304 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62160
|
3305 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62170
|
3306 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62200
|
3307 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62210
|
3308 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62220
|
3309 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62230
|
3310 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62240
|
3311 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62250
|
3312 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62260
|
3313 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62270
|
3314 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62300
|
3315 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62310
|
3316 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62320
|
3317 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62330
|
3318 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62340
|
3319 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62350
|
3320 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62360
|
3321 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62370
|
3322 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62400
|
3323 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62410
|
3324 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62420
|
3325 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62430
|
3326 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62440
|
3327 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62450
|
3328 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62460
|
3329 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62470
|
3330 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62500
|
3331 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62510
|
3332 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62520
|
3333 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62530
|
3334 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62540
|
3335 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62550
|
3336 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62560
|
3337 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62570
|
3338 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62600
|
3339 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62610
|
3340 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62620
|
3341 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62630
|
3342 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62640
|
3343 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62650
|
3344 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62660
|
3345 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62670
|
3346 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62700
|
3347 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62710
|
3348 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62720
|
3349 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62730
|
3350 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62740
|
3351 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62750
|
3352 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62760
|
3353 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62770
|
3354 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63000
|
3355 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63010
|
3356 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63020
|
3357 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63030
|
3358 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63040
|
3359 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63050
|
3360 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63060
|
3361 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63070
|
3362 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63100
|
3363 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63110
|
3364 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63120
|
3365 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63130
|
3366 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63140
|
3367 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63150
|
3368 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63160
|
3369 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63170
|
3370 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63200
|
3371 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63210
|
3372 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63220
|
3373 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63230
|
3374 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63240
|
3375 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63250
|
3376 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63260
|
3377 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63270
|
3378 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63300
|
3379 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63310
|
3380 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63320
|
3381 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63330
|
3382 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63340
|
3383 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63350
|
3384 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63360
|
3385 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63370
|
3386 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63400
|
3387 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63410
|
3388 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63420
|
3389 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63430
|
3390 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63440
|
3391 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63450
|
3392 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63460
|
3393 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63470
|
3394 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63500
|
3395 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63510
|
3396 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63520
|
3397 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63530
|
3398 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63540
|
3399 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63550
|
3400 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63560
|
3401 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63570
|
3402 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63600
|
3403 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63610
|
3404 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63620
|
3405 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63630
|
3406 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63640
|
3407 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63650
|
3408 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63660
|
3409 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63670
|
3410 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63700
|
3411 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63710
|
3412 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63720
|
3413 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63730
|
3414 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63740
|
3415 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63750
|
3416 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63760
|
3417 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63770
|
3418 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64000
|
3419 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64010
|
3420 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64020
|
3421 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64030
|
3422 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64040
|
3423 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64050
|
3424 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64060
|
3425 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64070
|
3426 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64100
|
3427 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64110
|
3428 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64120
|
3429 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64130
|
3430 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64140
|
3431 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64150
|
3432 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64160
|
3433 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64170
|
3434 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64200
|
3435 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64210
|
3436 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64220
|
3437 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64230
|
3438 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64240
|
3439 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64250
|
3440 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64260
|
3441 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64270
|
3442 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64300
|
3443 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64310
|
3444 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64320
|
3445 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64330
|
3446 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64340
|
3447 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64350
|
3448 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64360
|
3449 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64370
|
3450 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64400
|
3451 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64410
|
3452 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64420
|
3453 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64430
|
3454 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64440
|
3455 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64450
|
3456 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64460
|
3457 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64470
|
3458 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64500
|
3459 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64510
|
3460 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64520
|
3461 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64530
|
3462 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64540
|
3463 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64550
|
3464 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64560
|
3465 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64570
|
3466 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64600
|
3467 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64610
|
3468 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64620
|
3469 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64630
|
3470 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64640
|
3471 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64650
|
3472 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64660
|
3473 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64670
|
3474 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64700
|
3475 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64710
|
3476 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64720
|
3477 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64730
|
3478 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64740
|
3479 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64750
|
3480 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64760
|
3481 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64770
|
3482 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65000
|
3483 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65010
|
3484 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65020
|
3485 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65030
|
3486 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65040
|
3487 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65050
|
3488 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65060
|
3489 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65070
|
3490 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65100
|
3491 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65110
|
3492 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65120
|
3493 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65130
|
3494 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65140
|
3495 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65150
|
3496 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65160
|
3497 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65170
|
3498 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65200
|
3499 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65210
|
3500 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65220
|
3501 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65230
|
3502 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65240
|
3503 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65250
|
3504 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65260
|
3505 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65270
|
3506 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65300
|
3507 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65310
|
3508 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65320
|
3509 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65330
|
3510 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65340
|
3511 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65350
|
3512 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65360
|
3513 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65370
|
3514 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65400
|
3515 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65410
|
3516 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65420
|
3517 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65430
|
3518 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65440
|
3519 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65450
|
3520 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65460
|
3521 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65470
|
3522 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65500
|
3523 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65510
|
3524 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65520
|
3525 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65530
|
3526 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65540
|
3527 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65550
|
3528 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65560
|
3529 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65570
|
3530 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65600
|
3531 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65610
|
3532 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65620
|
3533 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65630
|
3534 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65640
|
3535 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65650
|
3536 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65660
|
3537 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65670
|
3538 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65700
|
3539 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65710
|
3540 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65720
|
3541 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65730
|
3542 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65740
|
3543 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65750
|
3544 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65760
|
3545 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65770
|
3546 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66000
|
3547 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66010
|
3548 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66020
|
3549 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66030
|
3550 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66040
|
3551 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66050
|
3552 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66060
|
3553 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66070
|
3554 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66100
|
3555 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66110
|
3556 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66120
|
3557 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66130
|
3558 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66140
|
3559 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66150
|
3560 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66160
|
3561 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66170
|
3562 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66200
|
3563 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66210
|
3564 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66220
|
3565 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66230
|
3566 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66240
|
3567 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66250
|
3568 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66260
|
3569 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66270
|
3570 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66300
|
3571 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66310
|
3572 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66320
|
3573 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66330
|
3574 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66340
|
3575 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66350
|
3576 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66360
|
3577 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66370
|
3578 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66400
|
3579 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66410
|
3580 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66420
|
3581 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66430
|
3582 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66440
|
3583 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66450
|
3584 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66460
|
3585 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66470
|
3586 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66500
|
3587 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66510
|
3588 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66520
|
3589 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66530
|
3590 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66540
|
3591 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66550
|
3592 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66560
|
3593 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66570
|
3594 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66600
|
3595 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66610
|
3596 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66620
|
3597 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66630
|
3598 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66640
|
3599 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66650
|
3600 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66660
|
3601 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66670
|
3602 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66700
|
3603 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66710
|
3604 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66720
|
3605 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66730
|
3606 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66740
|
3607 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66750
|
3608 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66760
|
3609 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66770
|
3610 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67000
|
3611 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67010
|
3612 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67020
|
3613 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67030
|
3614 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67040
|
3615 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67050
|
3616 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67060
|
3617 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67070
|
3618 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67100
|
3619 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67110
|
3620 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67120
|
3621 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67130
|
3622 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67140
|
3623 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67150
|
3624 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67160
|
3625 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67170
|
3626 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67200
|
3627 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67210
|
3628 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67220
|
3629 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67230
|
3630 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67240
|
3631 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67250
|
3632 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67260
|
3633 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67270
|
3634 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67300
|
3635 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67310
|
3636 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67320
|
3637 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67330
|
3638 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67340
|
3639 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67350
|
3640 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67360
|
3641 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67370
|
3642 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67400
|
3643 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67410
|
3644 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67420
|
3645 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67430
|
3646 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67440
|
3647 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67450
|
3648 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67460
|
3649 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67470
|
3650 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67500
|
3651 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67510
|
3652 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67520
|
3653 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67530
|
3654 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67540
|
3655 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67550
|
3656 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67560
|
3657 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67570
|
3658 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67600
|
3659 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67610
|
3660 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67620
|
3661 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67630
|
3662 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67640
|
3663 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67650
|
3664 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67660
|
3665 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67670
|
3666 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67700
|
3667 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67710
|
3668 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67720
|
3669 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67730
|
3670 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67740
|
3671 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67750
|
3672 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67760
|
3673 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67770
|
3674 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70000
|
3675 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70010
|
3676 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70020
|
3677 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70030
|
3678 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70040
|
3679 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70050
|
3680 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70060
|
3681 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70070
|
3682 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70100
|
3683 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70110
|
3684 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70120
|
3685 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70130
|
3686 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70140
|
3687 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70150
|
3688 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70160
|
3689 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70170
|
3690 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70200
|
3691 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70210
|
3692 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70220
|
3693 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70230
|
3694 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70240
|
3695 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70250
|
3696 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70260
|
3697 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70270
|
3698 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70300
|
3699 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70310
|
3700 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70320
|
3701 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70330
|
3702 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70340
|
3703 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70350
|
3704 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70360
|
3705 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70370
|
3706 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70400
|
3707 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70410
|
3708 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70420
|
3709 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70430
|
3710 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70440
|
3711 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70450
|
3712 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70460
|
3713 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70470
|
3714 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70500
|
3715 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70510
|
3716 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70520
|
3717 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70530
|
3718 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70540
|
3719 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70550
|
3720 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70560
|
3721 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70570
|
3722 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70600
|
3723 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70610
|
3724 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70620
|
3725 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70630
|
3726 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70640
|
3727 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70650
|
3728 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70660
|
3729 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70670
|
3730 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70700
|
3731 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70710
|
3732 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70720
|
3733 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70730
|
3734 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70740
|
3735 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70750
|
3736 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70760
|
3737 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70770
|
3738 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71000
|
3739 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71010
|
3740 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71020
|
3741 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71030
|
3742 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71040
|
3743 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71050
|
3744 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71060
|
3745 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71070
|
3746 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71100
|
3747 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71110
|
3748 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71120
|
3749 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71130
|
3750 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71140
|
3751 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71150
|
3752 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71160
|
3753 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71170
|
3754 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71200
|
3755 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71210
|
3756 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71220
|
3757 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71230
|
3758 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71240
|
3759 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71250
|
3760 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71260
|
3761 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71270
|
3762 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71300
|
3763 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71310
|
3764 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71320
|
3765 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71330
|
3766 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71340
|
3767 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71350
|
3768 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71360
|
3769 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71370
|
3770 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71400
|
3771 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71410
|
3772 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71420
|
3773 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71430
|
3774 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71440
|
3775 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71450
|
3776 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71460
|
3777 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71470
|
3778 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71500
|
3779 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71510
|
3780 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71520
|
3781 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71530
|
3782 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71540
|
3783 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71550
|
3784 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71560
|
3785 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71570
|
3786 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71600
|
3787 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71610
|
3788 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71620
|
3789 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71630
|
3790 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71640
|
3791 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71650
|
3792 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71660
|
3793 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71670
|
3794 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71700
|
3795 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71710
|
3796 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71720
|
3797 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71730
|
3798 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71740
|
3799 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71750
|
3800 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71760
|
3801 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71770
|
3802 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72000
|
3803 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72010
|
3804 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72020
|
3805 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72030
|
3806 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72040
|
3807 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72050
|
3808 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72060
|
3809 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72070
|
3810 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72100
|
3811 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72110
|
3812 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72120
|
3813 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72130
|
3814 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72140
|
3815 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72150
|
3816 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72160
|
3817 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72170
|
3818 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72200
|
3819 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72210
|
3820 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72220
|
3821 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72230
|
3822 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72240
|
3823 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72250
|
3824 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72260
|
3825 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72270
|
3826 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72300
|
3827 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72310
|
3828 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72320
|
3829 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72330
|
3830 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72340
|
3831 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72350
|
3832 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72360
|
3833 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72370
|
3834 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72400
|
3835 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72410
|
3836 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72420
|
3837 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72430
|
3838 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72440
|
3839 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72450
|
3840 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72460
|
3841 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72470
|
3842 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72500
|
3843 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72510
|
3844 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72520
|
3845 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72530
|
3846 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72540
|
3847 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72550
|
3848 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72560
|
3849 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72570
|
3850 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72600
|
3851 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72610
|
3852 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72620
|
3853 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72630
|
3854 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72640
|
3855 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72650
|
3856 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72660
|
3857 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72670
|
3858 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72700
|
3859 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72710
|
3860 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72720
|
3861 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72730
|
3862 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72740
|
3863 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72750
|
3864 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72760
|
3865 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72770
|
3866 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73000
|
3867 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73010
|
3868 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73020
|
3869 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73030
|
3870 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73040
|
3871 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73050
|
3872 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73060
|
3873 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73070
|
3874 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73100
|
3875 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73110
|
3876 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73120
|
3877 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73130
|
3878 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73140
|
3879 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73150
|
3880 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73160
|
3881 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73170
|
3882 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73200
|
3883 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73210
|
3884 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73220
|
3885 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73230
|
3886 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73240
|
3887 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73250
|
3888 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73260
|
3889 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73270
|
3890 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73300
|
3891 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73310
|
3892 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73320
|
3893 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73330
|
3894 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73340
|
3895 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73350
|
3896 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73360
|
3897 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73370
|
3898 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73400
|
3899 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73410
|
3900 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73420
|
3901 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73430
|
3902 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73440
|
3903 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73450
|
3904 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73460
|
3905 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73470
|
3906 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73500
|
3907 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73510
|
3908 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73520
|
3909 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73530
|
3910 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73540
|
3911 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73550
|
3912 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73560
|
3913 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73570
|
3914 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73600
|
3915 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73610
|
3916 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73620
|
3917 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73630
|
3918 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73640
|
3919 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73650
|
3920 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73660
|
3921 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73670
|
3922 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73700
|
3923 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73710
|
3924 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73720
|
3925 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73730
|
3926 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73740
|
3927 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73750
|
3928 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73760
|
3929 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73770
|
3930 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74000
|
3931 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74010
|
3932 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74020
|
3933 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74030
|
3934 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74040
|
3935 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74050
|
3936 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74060
|
3937 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74070
|
3938 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74100
|
3939 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74110
|
3940 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74120
|
3941 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74130
|
3942 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74140
|
3943 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74150
|
3944 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74160
|
3945 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74170
|
3946 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74200
|
3947 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74210
|
3948 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74220
|
3949 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74230
|
3950 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74240
|
3951 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74250
|
3952 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74260
|
3953 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74270
|
3954 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74300
|
3955 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74310
|
3956 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74320
|
3957 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74330
|
3958 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74340
|
3959 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74350
|
3960 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74360
|
3961 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74370
|
3962 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74400
|
3963 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74410
|
3964 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74420
|
3965 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74430
|
3966 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74440
|
3967 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74450
|
3968 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74460
|
3969 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74470
|
3970 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74500
|
3971 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74510
|
3972 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74520
|
3973 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74530
|
3974 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74540
|
3975 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74550
|
3976 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74560
|
3977 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74570
|
3978 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74600
|
3979 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74610
|
3980 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74620
|
3981 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74630
|
3982 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74640
|
3983 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74650
|
3984 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74660
|
3985 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74670
|
3986 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74700
|
3987 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74710
|
3988 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74720
|
3989 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74730
|
3990 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74740
|
3991 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74750
|
3992 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74760
|
3993 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74770
|
3994 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75000
|
3995 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75010
|
3996 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75020
|
3997 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75030
|
3998 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75040
|
3999 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75050
|
4000 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75060
|
4001 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75070
|
4002 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75100
|
4003 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75110
|
4004 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75120
|
4005 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75130
|
4006 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75140
|
4007 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75150
|
4008 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75160
|
4009 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75170
|
4010 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75200
|
4011 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75210
|
4012 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75220
|
4013 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75230
|
4014 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75240
|
4015 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75250
|
4016 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75260
|
4017 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75270
|
4018 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75300
|
4019 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75310
|
4020 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75320
|
4021 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75330
|
4022 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75340
|
4023 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75350
|
4024 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75360
|
4025 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75370
|
4026 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75400
|
4027 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75410
|
4028 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75420
|
4029 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75430
|
4030 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75440
|
4031 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75450
|
4032 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75460
|
4033 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75470
|
4034 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75500
|
4035 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75510
|
4036 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75520
|
4037 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75530
|
4038 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75540
|
4039 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75550
|
4040 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75560
|
4041 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75570
|
4042 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75600
|
4043 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75610
|
4044 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75620
|
4045 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75630
|
4046 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75640
|
4047 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75650
|
4048 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75660
|
4049 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75670
|
4050 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75700
|
4051 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75710
|
4052 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75720
|
4053 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75730
|
4054 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75740
|
4055 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75750
|
4056 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75760
|
4057 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75770
|
4058 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76000
|
4059 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76010
|
4060 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76020
|
4061 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76030
|
4062 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76040
|
4063 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76050
|
4064 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76060
|
4065 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76070
|
4066 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76100
|
4067 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76110
|
4068 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76120
|
4069 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76130
|
4070 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76140
|
4071 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76150
|
4072 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76160
|
4073 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76170
|
4074 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76200
|
4075 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76210
|
4076 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76220
|
4077 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76230
|
4078 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76240
|
4079 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76250
|
4080 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76260
|
4081 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76270
|
4082 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76300
|
4083 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76310
|
4084 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76320
|
4085 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76330
|
4086 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76340
|
4087 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76350
|
4088 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76360
|
4089 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76370
|
4090 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76400
|
4091 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76410
|
4092 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76420
|
4093 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76430
|
4094 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76440
|
4095 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76450
|
4096 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76460
|
4097 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76470
|
4098 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76500
|
4099 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76510
|
4100 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76520
|
4101 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76530
|
4102 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76540
|
4103 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76550
|
4104 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76560
|
4105 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76570
|
4106 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76600
|
4107 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76610
|
4108 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76620
|
4109 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76630
|
4110 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76640
|
4111 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76650
|
4112 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76660
|
4113 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76670
|
4114 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76700
|
4115 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76710
|
4116 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76720
|
4117 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76730
|
4118 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76740
|
4119 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76750
|
4120 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76760
|
4121 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76770
|
4122 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77000
|
4123 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77010
|
4124 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77020
|
4125 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77030
|
4126 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77040
|
4127 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77050
|
4128 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77060
|
4129 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77070
|
4130 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77100
|
4131 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77110
|
4132 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77120
|
4133 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77130
|
4134 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77140
|
4135 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77150
|
4136 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77160
|
4137 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77170
|
4138 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77200
|
4139 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77210
|
4140 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77220
|
4141 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77230
|
4142 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77240
|
4143 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77250
|
4144 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77260
|
4145 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77270
|
4146 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77300
|
4147 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77310
|
4148 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77320
|
4149 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77330
|
4150 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77340
|
4151 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77350
|
4152 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77360
|
4153 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77370
|
4154 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77400
|
4155 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77410
|
4156 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77420
|
4157 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77430
|
4158 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77440
|
4159 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77450
|
4160 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77460
|
4161 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77470
|
4162 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77500
|
4163 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77510
|
4164 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77520
|
4165 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77530
|
4166 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77540
|
4167 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77550
|
4168 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77560
|
4169 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77570
|
4170 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77600
|
4171 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77610
|
4172 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77620
|
4173 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77630
|
4174 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77640
|
4175 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77650
|
4176 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77660
|
4177 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77670
|
4178 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77700
|
4179 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77710
|
4180 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77720
|
4181 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77730
|
4182 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77740
|
4183 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77750
|
4184 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77760
|
4185 |
|
|
o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000" -- 77770
|
4186 |
|
|
);
|
4187 |
|
|
|
4188 |
|
|
begin
|
4189 |
|
|
|
4190 |
|
|
--
|
4191 |
|
|
-- The Internal RAM is enabled for all reads/writes to main memory.
|
4192 |
|
|
--
|
4193 |
|
|
|
4194 |
|
|
ramWr <= cpu.buss.wr and cpu.buss.lxmar;
|
4195 |
|
|
xaddr <= cpu.buss.eaddr & cpu.buss.addr;
|
4196 |
|
|
cpuData <= cpu.buss.data;
|
4197 |
|
|
|
4198 |
|
|
process(sys.clk)
|
4199 |
|
|
begin
|
4200 |
|
|
if rising_edge(sys.clk) then
|
4201 |
|
|
if ramWr = '1' then
|
4202 |
|
|
RAM(to_integer(unsigned(xaddr))) <= cpuData;
|
4203 |
|
|
end if;
|
4204 |
|
|
ramData <= RAM(to_integer(unsigned(xaddr)));
|
4205 |
|
|
end if;
|
4206 |
|
|
end process;
|
4207 |
|
|
|
4208 |
|
|
dev.ack <= cpu.buss.lxmar;
|
4209 |
|
|
dev.data <= ramData;
|
4210 |
|
|
dev.devc <= devWR;
|
4211 |
|
|
dev.skip <= '0';
|
4212 |
|
|
dev.cpreq <= '0';
|
4213 |
|
|
dev.intr <= '0';
|
4214 |
|
|
dev.dma <= nullDMA;
|
4215 |
|
|
|
4216 |
|
|
end rtl;
|