OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

[/] [phr/] [trunk/] [doc/] [gastos/] [comp_2012-09-10/] [src/] [diodes.cmp] - Blame information for rev 430

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 64 guanucolui
501-
2
502-BeginCmp
3
503-TimeStamp = /4EA654D2;
4
504:Reference = D1;
5
505-ValeurCmp = power;
6
506-IdModule  = LED-0805;
7
507-EndCmp
8
--
9
714-
10
715-BeginCmp
11
716-TimeStamp = /4E4D4DD3;
12
717:Reference = D1;
13
718-ValeurCmp = DONE;
14
719-IdModule  = LED-0805;
15
720-EndCmp
16
--
17
963-BeginCmp
18
964-TimeStamp = 4EAEBF62
19
965-Path = /4EAE3363
20
966:Reference = D4;
21
967-ValeurCmp = FT_OK;
22
968-IdModule  = LED-0805;
23
969-EndCmp
24
--
25
971-BeginCmp
26
972-TimeStamp = 4EB0CE47
27
973-Path = /4EAE3357
28
974:Reference = D3;
29
975-ValeurCmp = TX;
30
976-IdModule  = LED-0805;
31
977-EndCmp
32
--
33
979-BeginCmp
34
980-TimeStamp = 4EAEBF66
35
981-Path = /4EAE3349
36
982:Reference = D2;
37
983-ValeurCmp = RX;
38
984-IdModule  = LED-0805;
39
985-EndCmp
40
--
41
987-BeginCmp
42
988-TimeStamp = 4EAEBF68
43
989-Path = /4EAE32A1
44
990:Reference = D1;
45
991-ValeurCmp = +5V;
46
992-IdModule  = LED-0805;
47
993-EndCmp
48
--
49
1903-
50
1904-BeginCmp
51
1905-TimeStamp = 48FC939B;
52
1906:Reference = D1;
53
1907-ValeurCmp = SM6T6V6A;
54
1908-IdModule  = DO_214AA;
55
1909-EndCmp
56
1910-
57
1911-BeginCmp
58
1912-TimeStamp = 48FC97B2;
59
1913:Reference = D2;
60
1914-ValeurCmp = SS32;
61
1915-IdModule  = DO214AB;
62
1916-EndCmp
63
1917-
64
1918-BeginCmp
65
1919-TimeStamp = 48FDDE02;
66
1920:Reference = D3;
67
1921-ValeurCmp = SS32;
68
1922-IdModule  = DO214AB;
69
1923-EndCmp
70
1924-
71
1925-BeginCmp
72
1926-TimeStamp = 4900D275;
73
1927:Reference = D4;
74
1928-ValeurCmp = LED;
75
1929-IdModule  = -1206;
76
1930-EndCmp
77
1931-
78
1932-BeginCmp
79
1933-TimeStamp = 4900C810;
80
1934:Reference = D5;
81
1935-ValeurCmp = LED;
82
1936-IdModule  = -1206;
83
1937-EndCmp

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.