OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

[/] [phr/] [trunk/] [doc/] [informe-tesis/] [phd-thesis-template-master/] [ProyectoPHR/] [ProyectoPHR.tex] - Blame information for rev 304

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 136 guanucolui
 
2
\chapter{El proyecto PHR}
3
\label{chap:proy-phr}
4
 
5 273 guanucolui
\ifpdf
6
    \graphicspath{{ProyectoPHR/Figs/Raster/}{ProyectoPHR/Figs/PDF/}{ProyectoPHR/Figs/}}
7
\else
8
    \graphicspath{{ProyectoPHR/Figs/Vector/}{ProyectoPHR/Figs/}}
9
\fi
10
 
11 136 guanucolui
\section{Estructura general del proyecto}
12
\label{sec:estruct-gral}
13
 
14 303 guanucolui
Al comienzo de la década de los 90s surgieron varios trabajos donde se planteaba la necesidad de una plataforma educativa orientada a la implementación de diseños lógicos digitales basados en PLDs. Los principales demandantes eran diseñadores de arquitecturas de microprocesadores, desarrollos que años anteriores resultaban dificultosos por el costo de la implementación en \textsl{hardware}. El avance en el proceso de integración de los circuitos integrados ha llevado a que se desarrollen plataformas más complejas que ofrecen una gran cantidad de recursos de hardware. Al día de hoy se han generado varios proyectos desarrollados por instituciones académicas, otras con especificaciones abiertas y también con fines comerciales \cite{Paper-PHR-uEA}. Todos estos trabajos tienen algunas características en común\footnote{La caracterización anterior no es un intento de generalizar a todas las plataformas educativas basadas en PLDs, pero sí resulta útil para definir el perfil de la plataforma que se describe en este trabajo.}:
15 281 guanucolui
 
16
\begin{itemize}
17
\item El dispositivo lógico programable central es una FPGA
18
\item Poseen Memoria de configuración de la FPGA
19
\item El acceso al dispositivo es a través de JTAG
20
\item Disponen de algún software para interactuar con la plataforma desde una computadora
21
\item Tienen dos perfiles de diseño:
22
  \begin{itemize}
23
  \item Para la implementación de sistemas lógicos generales
24
  \item Orientado a un área específica
25
  \end{itemize}
26
\end{itemize}
27
 
28
En función del perfil del usuario de la plataforma se definen los dispositivos que se utilizarán. La Tabla \ref{tab:rec-plataforma} ilustra una clasificación de los recursos que ofrecen diferentes plataformas basada en dispositivos PLDs. A niveles iniciales en el estudio de la lógica digital se requieren periféricos básicos como ser llaves conmutadoras de estados lógicos, pulsadores, dispositivos indicadores como diodos LED, etc. A un nivel medio se manejan controladores para display gráficos LCD/LED, comunicaciones entre varios dispositivos mediante SPI, I2C, etc. Y por último, en la formación de especialistas de sistemas embebidos, se requieren recursos como interfaces físicos para ethernet, controladores HDMI, USB, y otros más.
29
 
30
\begin{table}[!t]
31
\renewcommand{\arraystretch}{1.3}
32
\caption{Recursos de hardware en función de los niveles de aprendizaje}
33
\label{tab:rec-plataforma}
34
\centering
35
\begin{tabular}{|l|c|c|c|}
36
\hline
37
\multirow{2}{*}{Nivel} & Llaves/pulsadores & ADC\&DAC/SPI & USB/ETH \\
38
                       & Diodos LED & Display LCD/VGA & HDMI \\
39
\hline
40
Inicial & $\checkmark$ & & \\
41
\hline
42
Medio & $\checkmark$ & $\checkmark$ & \\
43
\hline
44
Avanzado & $\checkmark$ & $\checkmark$ & $\checkmark$ \\
45
\hline
46
\end{tabular}
47
\end{table}
48
 
49
La estructura del proyecto \emph{Plataforma de Hardware Reconfigurable} debe ser un proyecto a medida de las necesidades en la  enseñanza de los sistemas digitales lógicos en las cátedras iniciales. Se debe ofrecen recursos básicos para que los estudiantes interactúen con la tecnología de los dispositivos PLDs, pero también dispone de puertos para conectar otros recursos físicos permitiendo que estudiantes avanzados puedan hacer uso de ellas sin limitaciones. Al ser publicado bajo licencia libre/abierta permitirá que el diseño, o parte de él, sirva como referencia a otras instituciones académicas que se encuentren en búsqueda de una plataforma para implementar en sus diferentes cátedras.
50
 
51 304 guanucolui
\nomenclature[z-spi]{SPI}{\textsl{Serial Peripheral Interface}}
52
\nomenclature[z-i2c]{I2C}{\textsl{Inter-Integrated Circuit or IIC}}
53
\nomenclature[z-usb]{USB}{\textsl{Universal Serial Bus}}
54
 
55
 
56
 
57 299 guanucolui
\section{Consideraciones sobre la estructura de las placas}
58 136 guanucolui
\label{sec:def-estr-placas}
59
 
60 281 guanucolui
Las dimensiones y disposiciones de las diferentes placas que forman este proyecto también ha requerido un previo análisis por parte de los desarrolladores. Si bien parece un tema trivial, las consecuencias de las definiciones a tomar implican alteraciones tanto funcionales como económicas.
61
 
62
Como se describió en la Sección \ref{sec:estruct-gral}, en esta parte del desarrollo se conoce que dispositivos electrónicos se van a incluir en nuestro proyecto como así también las dimensiones y distribuciones que se puede tomar. Los principales dispositivos que requieren mayor importancia y que definirán la estructura física del diseño son:
63
 
64
\begin{itemize}
65
\item Dispositivo Lógico Programable (FPGA)
66
\item Memoria de programación
67
\item Interfaz USB-JTAG
68
\item Sistema de alimentación
69
\item Periféricos
70
\end{itemize}
71
 
72
Las primeras observaciones que se hicieron sobre estos puntos fue la posibilidad de dar el mayor uso y flexibilidad a todos los recursos a implementar. Por ejemplo, para el caso del interfaz USB-JTAG se podría implementar en forma aislada a la placa principal del proyecto. Eso permitiría que reutilizar esta interfaz con otros proyectos. Pero si esto se realizara se incrementaría el costo del proyecto pues se necesitaría fabricar una placa aislada que, si bien puede que ocupe el mismo área que si se integraría el diseño a la placa principal, los costos de fabricación son mayores. Además, por el perfil del proyecto, se intentó implementar diseños publicados en forma libre por la comunidad de \emph{Hardware Libre}. Un ejemplo de esto es la decisión de utilizar el sistema de alimentación desarrollado por el Instituto Nacional de Tecnología Industrial, lo que se describirá con mayor detalle en secciones futura. En definitiva, todos los análisis llevaron a la diseño e implementación de tres placas que integran todos los requerimientos planteados al iniciar el desarrollo.
73
 
74 136 guanucolui
\section{Selección de dispositivos principales}
75
\label{sec:sel-disp-prin}
76
 
77 284 guanucolui
Los dispositivos principales del proyecto, enunciados en la sección anterior, deben ser definidos al comenzar con el desarrollo. Una vez que se decida porque dispositivos utilizar, los demás componentes electrónicos serán funcionales a estos primeros.
78 281 guanucolui
 
79 284 guanucolui
\subsection{Dispositivos FPGA}
80
\label{sec:sel-disp-prin-fpga}
81 281 guanucolui
 
82 303 guanucolui
La FPGA que se utiliza pertenece a la familia Spartan-3 de Xilinx Inc. Esta familia a la vez se clasifican en \cite{Spartan-3-UG}
83 281 guanucolui
 
84 284 guanucolui
\begin{itemize}
85
\item Familia Spartan-3A extendida (bajo costo):
86
  \begin{itemize}
87
  \item Spartan-3A
88
    \begin{itemize}
89
    \item Ideal para uso de interfaz entre dispositivos.
90
    \end{itemize}
91
  \item Spartan-3A DSP
92
    \begin{itemize}
93
    \item Mayor densidad de recursos en comparación que la familia Spartan-3A
94
    \item Dispone de un dispositivo DSP (DSP48A)
95
    \end{itemize}
96
  \item Spartan-3AN
97
    \begin{itemize}
98
    \item Dispositivos no volátiles
99
    \item Ideal para aplicaciones con restricciones de espacio
100
    \end{itemize}
101
  \end{itemize}
102
\item Familia Spartan-3E
103
\item Familia Spartan-3
104
\end{itemize}
105 281 guanucolui
 
106 284 guanucolui
Altera, Atmel y otros fabricantes de FPGAs también presentan familias similares a las Spartan-3. Aquí se optó por Xilinx Inc. debido a la experiencia en software/hardware con que cuenta el Centro de Investigación\footnote{CUDAR -- Centro Universitario de Desarrollo en Automoción y Robótica.} donde se desarrolla el proyecto. La familia extendida Spartan-3A es la que se utiliza en el diseño de la PHR, que   se distingue en la comparativa entre costo y recursos de hardware. Las Spartan-3A, permiten una gran variedad de modos de configuración en contraste con la familia Spartan-3. Por otro lado, no es necesaria una gran capacidad de procesamiento que justifique la inclusión de un DSP, debido al perfil del usuario de la plataforma que se desarrolla. Algunas de las características más relevantes de esta familia de FPGA son,
107
\begin{itemize}
108
\item $Vin_{Máx}$: 4,6V. Compatible con fuentes de 3.3V +/- 10\%.
109
\item Señales estándar: LVCMOS, LVTTL, HSTL y SSTL.
110
\item Driver de salida hasta 24mA.
111
\item Tasa de transferencia 622Mb/s.
112
\item 18x18 multiplicadores dedicados con \textsl{pipeline} opcional.
113
\item Puerto programación/debug JTAG IEEE 1149.1/1532.
114
\item Digital Clock Manager (DCMs)
115
  \begin{itemize}
116
  \item Rango de frecuencia 5Mhz hasta 300Mhz.
117
  \end{itemize}
118
\item  Ocho global clock.
119
\item Interfaz de configuración para PROMs estándar.
120
  \begin{itemize}
121
  \item PROM flash SPI, bajo costo.
122
  \item PROM flash NOR paralelo x8 o x8/x16.
123
  \end{itemize}
124 299 guanucolui
\item Reconfiguración automática Multi-boot entre dos archivos.
125 284 guanucolui
\item \textsl{Package} de bajo costo QFP y BGA.
126
\end{itemize}
127
 
128
La arquitectura de la Spartan-3 consiste de cinco elementos fundamentales funcionales programables:
129
\begin{description}
130
\item[Configurable Logic Block (CLBs)] contienen flexibles \textsl{Look-Up Tables} (LUTs) que implentan elementos lógicos usados como flip-flop o \textsl{latch}.
131
\item[Input/Output Blocks (IOBs)] controla el flujo de datos entre los pines de I/O y la lógica interna del dispositivo. Los IOBs soportan flujo de datos bidireccionales además de operaciones 3-\textsl{state}.
132
\item[Block RAM] provee almacenamiento de datos en la forma de bloques \textsl{dual-port} de 18Kbit.
133
\item[Multiplier Blocks] toma dos números binarios de 18bit como entrada y calcula el producto. La línea Spartan-3A DSP incluye bloques especiales DSP.
134
\item[Digital Clock Manager (DCM)] Block proporciona auto-calibración, retardos, multiplicadores, divisores, y señales de clock de cambio de fase (\textsl{phase-shifting}).
135
\end{description}
136
 
137
La generación de FPGAs Spartan-3 son programadas por la carga de datos de configuración en dispositivos sólidos, reprogramables, \textsl{static CMOS configuration latches} (CCLs) que en conjunto controla todo los elementos funcionales y designan las fuentes. El dato de configuración de las FPGA es almacenado en dispositivos externos como una PROM o algún dispositivo no-volátil.
138
 
139
Todas las señales que entran y salen de la FPGA deben pasar a través de los recursos I/O, conocidos como IOBs. Ya que las FPGAs son usadas en muchas aplicaciones complejas, estos dispositivos deben soportan un incremento variable de I/O. La revolucionaría \emph{SelectIO} (patentado por xilinx), que contiene la Spartan-3 reúne esta necesidad proporcionando una enorme \emph{configurabilidad}, alto \textsl{performance} de recursos adecuados para aplicaciones como son memorias de alta velocidad y interfaces de placas complejas programables.
140
 
141
La generación de FPGA Spartan-3 simplifica diseños de alto-\textsl{performance} ofreciendo un seleccionable diseño I/O estándar para entrada y salida. Más de 20 diferentes estándares son soportados en cada familia, con diferentes especificaciones de corriente, voltaje, I/O baffering, y terminaciones técnicas. Como un resultado, la generación de FPGA Spartan-3 puede ser usada para transformadas integrales discreta y drive direccional en muchas placas avanzadas, buses, y memorias. Directamente proporciona el interfaz estándar necesario no solo para eliminar el costo externo de traslación, sino también mejora significativamente la velocidad de \emph{chip-to-chip} y reduce el consumo de potencia.
142
 
143 299 guanucolui
La FPGA que se utiliza pertenece a la familia Spartan-3 de Xilinx Inc. Esta familia a la vez se clasifican en
144
 
145
\begin{itemize}
146
\item Familia Spartan-3A extendida (bajo costo):
147
  \begin{itemize}
148
  \item Spartan-3A
149
    \begin{itemize}
150
    \item Ideal para uso de interfaz entre dispositivos.
151
    \end{itemize}
152
  \item Spartan-3A DSP
153
    \begin{itemize}
154
    \item Mayor densidad de recursos en comparación que la familia Spartan-3A
155
    \item Dispone de un dispositivo DSP (DSP48A)
156
    \end{itemize}
157
  \item Spartan-3AN
158
    \begin{itemize}
159
    \item Dispositivos no volátiles
160
    \item Ideal para aplicaciones con restricciones de espacio
161
    \end{itemize}
162
  \end{itemize}
163
\item Familia Spartan-3E
164
\item Familia Spartan-3
165
\end{itemize}
166
 
167 303 guanucolui
Altera, Atmel y otros fabricantes de FPGAs también presentan familias similares a las Spartan-3. Aquí se optó por Xilinx Inc. debido a la experiencia en software/hardware con que cuenta el Centro de Investigación\footnote{CUDAR -- Centro Universitario de Desarrollo en Automoción y Robótica.} donde se desarrolla el proyecto. La familia extendida Spartan-3A es la que se utiliza en el diseño de la PHR, que   se distingue en la comparativa entre costo y recursos de hardware. Las Spartan-3A \cite{DS-Spartan-3A}, permiten una gran variedad de modos de configuración en contraste con la familia Spartan-3. Por otro lado, no es necesaria una gran capacidad de procesamiento que justifique la inclusión de un DSP, debido al perfil del usuario de la plataforma que se desarrolla. Las principales características de las FPGAs Spartan-3A se describen en la Tabla \ref{tab:char-fpga}.
168 299 guanucolui
 
169
\begin{table}[!t]
170
%increase table row spacing, adjust to taste
171
\renewcommand{\arraystretch}{1.3}
172
% if using array.sty, it might be a good idea to tweak the value of
173
% \extrarowheight as needed to properly center the text within the cells
174
\caption{Característica de la familia Spartan-3A}
175
\label{tab:char-fpga}
176
\centering
177
% Some packages, such as MDW tools, offer better commands for making tables
178
% than the plain LaTeX2e tabular which is used here.
179
\begin{tabular}{|l|c|c|c|c|}
180
\hline
181
\multirow{2}{*}{\textbf{Devices}} & \textbf{System} & \textbf{Block RAM} & \textbf{Dedicated} &  \textbf{Maximum} \\
182
 & \textbf{Gates} & \textbf{bits} & \textbf{Multipliers} & \textbf{User I/O} \\
183
\hline
184
XC3S50A & 50K & 54K & 3 & 144 \\
185
\hline
186
\textbf{XC3S200A} & \textbf{200K} & \textbf{288K} & \textbf{16} & \textbf{248} \\
187
\hline
188
XC3S400A & 400K & 360K & 20 & 311 \\
189
\hline
190
XC3S700A & 700K & 360K & 20 & 372 \\
191
\hline
192
XC3S1400A & 1400K & 576K & 32 & 502 \\
193
\hline
194
\end{tabular}
195
\end{table}
196
 
197
El dispositivo seleccionado, como se puede ver en la Tabla \ref{tab:char-fpga}, es el XC3S200A. Éste cuenta con una gran densidad de recursos de hardware (200K compuertas lógicas) a la vez que se puede encontrar en un encapsulado de pequeñas dimensiones (VQ100) que facilita el diseño del PCB (Printed Board Circuit). En este encapsulado se puede contar con 68 puertos de entrada/salida (I/O) para ser utilizados externamente a diferentes tecnologías programables (LVTTL, LVCMOS33/25/18, entre otros). El perfil del diseño de la PHR no requiere de una gran cantidad de puertos de I/O debido a las aplicaciones para las que se lo diseña.
198
 
199 284 guanucolui
\subsection{Memoria de configuración}
200
\label{sec:sel-disp-prin-mem}
201
 
202 303 guanucolui
La tecnología utilizada en las FPGAs Spartan-3A requieren de una memoria externa que configure al dispositivo ya que es volátil. Esta familia permite la utilización de varios tipos de memorias como modos de configuración para embeber el diseño digital en la FPGA. Xilinx comercializa memorias Flash PROM \cite{Prom-UG} para todas sus familias de FPGA. Hay una relación directa entre la capacidad lógica de una FPGA con el tamaño de la memoria de configuración, en la Tabla \ref{tab:mem-fpga} se puede apreciar esta relación para el caso de la familia Spartan-3A.
203 284 guanucolui
 
204 299 guanucolui
\begin{table}[!t]
205
\renewcommand{\arraystretch}{1.3}
206
\caption{Tipo de memoria para la familia Spartan-3A}
207
\label{tab:mem-fpga}
208
\centering
209
\begin{tabular}{|l|c|c|}
210
\hline
211
\multirow{2}{*}{\textbf{Devices}} & \textbf{Configuration} & \textbf{ISP PROM} \\
212
 & \textbf{Bits} & \textbf{Solution} \\
213
\hline
214
XC3S50A   & 437,312   & XCF01S \\
215
\hline
216
\textbf{XC3S200A}  & \textbf{1,196,128} & \textbf{XCF02S} \\
217
\hline
218
XC3S400A  & 1,886,560 & XCF02S \\
219
\hline
220
XC3S700A  & 2,732,640 & XCF04S \\
221
\hline
222
XC3S1400A & 4,755,296 & XCF08P     \\
223
\hline
224
\end{tabular}
225
\end{table}
226 284 guanucolui
 
227 299 guanucolui
Tanto la FPGA como la memoria de configuración Flash PROM se encuentran conectadas en cadena a través de una interfaz JTAG Boundary-Scan (IEEE 1149.1) que Xilinx Inc. implementa en sus dispositivos FPGAs, CPLDs y memorias Flash PROM para transferir los diseños sintetizados.
228 284 guanucolui
 
229 136 guanucolui
\section{Descripción de las placas}
230
\label{sec:desc-placas}
231
 
232 303 guanucolui
La \emph{Plataforma de Hardware Reconfigurable} (PHR) consiste fundamentalmente en tres módulos de soporte físico. El módulo principal es  la \emph{placa PHR} donde se encuentran el chip FPGA, relojes, interfaces de entradas y salidas, periféricos (tales como LEDs, botones, llaves DIP, Displays de siete segmentos), etc \cite{PHR-UG}.
233 299 guanucolui
 
234
Además tiene conectores especiales para otros dos módulos sin los cuales la placa principal carece de funcionalidad. Uno de ellos se emplea para la regulación de las tensiones que alimentan al resto de los dispositivos. Se trata de la denominada \emph{placa S3Power}. El otro módulo es una interfaz de comunicaciones necesaria para configurar la FPGA o escribir la memoria PROM de configuración y se dispone en la  \emph{placa OOCD Link}.
235
 
236
La conexión de las placas auxiliares a la principal se ilustra en la Fig. \ref{intro:conexionado}. La placa S3Power se acopla con los conectores que se indican con los números 8 y 16 en la Fig. \ref{intro:componentes}, mientras que la OOCD Link se une a la principal (mediante un adaptador) con los pines demarcados con 7. Esta última, a diferencia de la S3Power, no precisa estar siempre conectada, pero si cada ves que se desee configurar la FPGA o grabar su memoria PROM.
237
 
238
\begin{figure}[h!]
239
\begin{center}
240
  \includegraphics{./img/intro/placasConexionado.pdf}
241
\end{center}
242
\caption[Conexionado de las placas]{Conexionado de la placa PHR con las placas auxiliares.}
243
\label{intro:conexionado}
244
\end{figure}
245
 
246
\section{Diagrama de bloques del hardware}
247
 
248
Un esquema algo mas detallado del funcionamiento de la plataforma se ilustra en la Fig. \ref{intro:bloques}. En líneas punteadas se demarcan las distintas plaquetas, y en línea continua se muestran los bloques que componen a cada una. Cuando hay funciones desempeñadas por un chip en particular los rectángulos se destacan con un fondo gris.
249
 
250
\begin{figure}[h]
251
\begin{center}
252
  \includegraphics{./img/intro/block.pdf}
253
\end{center}
254
\caption[Diagrama de bloques de la plataforma]{Diagrama de bloques de la plataforma.}
255
\label{intro:bloques}
256
\end{figure}
257
 
258
La función de la placa S3Power la realiza principalmente el \emph{chip TPS75003} el cuál tiene un regulador lineal y controladores para dos fuentes conmutadas, lo cual permite suministrar energía regulada con tres valores de tensión y distintas características de arranque\footnote{Una explicación mas detallada de \emph{S3Power} puede consultarse en el capítulo \ref{sec:s3power-placa}.}.
259
Los voltajes utilizados por la FPGA son de 1.2V, 2.5V y 3.3V.
260
 
261
En la placa PHR, la FPGA se conecta a los distintos periféricos que se distinguen en la Fig. \ref{intro:bloques} con un fondo amarillo. El conjunto de periféricos está compuesto por \emph{LEDs}, \emph{Llaves DIP},  \emph{Botones}, el \emph{display de siete segmentos cuádruple} y el \emph{puerto serie}\footnote{Mas información sobre \emph{periféricos} en capítulo \ref{sec:phr-placa}, página \pageref{phr:sec:perifericos}.}.
262
 
263
Un recurso que puede facilitar el diseño de los proyectos es la señal de reloj. La placa PHR pone a disposición del usuario diversas señales de clock que van desde  una frecuencia mínima de 977 Hz hasta una frecuencia máxima de 50MHz\footnote{Mas información sobre \emph{relojes} en capítulo \ref{sec:phr-placa}, página \pageref{phr:sec:clocks}.}.
264
 
265
Para que la placa sea apta además para la realización de prototipos, se incluyeron dos conectores, con los cuales se el usuario tine acceso directo a los pines de la FPGA\footnote{Mas información sobre \emph{entradas y salidas de propósito general} en capítulo \ref{sec:phr-placa}, página \pageref{phr:sec:gpio}.}.
266
 
267
La placa OOCD Link incluye el \emph{chip FT2232D} que establece una interfaz JTAG controlable mediante una conexión USB. Un anillo JTAG se establece con la FPGA y la memoria PROM, esta ultima implementada con el \emph{chip XCF02S}\footnote{Mas información sobre la \emph{placa OOCD Link} en capítulo \ref{sec:oocdlink-placa}. Sobre el proceso de configuración refiérase a la sección \emph{Configuración de la FPGA} del capítulo \ref{sec:phr-placa}, página \pageref{phr:sec:fpga_conf}.}.
268
 
269
 
270
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
271
%NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION%
272
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
273
 
274
\section{Componentes de la placa principal}
275
 
276
En la Fig. \ref{intro:componentes} se tiene la vista superior de la placa PHR con sus principales componentes demarcados. Según la numeración, estos componentes son:
277
 
278
\begin{figure}[h!]
279
\begin{center}
280
  \includegraphics{./img/intro/phr_top.pdf}
281
\end{center}
282
\caption[Componentes de la placa PHR]{Componentes de la placa PHR.}
283
\label{intro:componentes}
284
\end{figure}
285
 
286
\begin{enumerate}
287
 
288
\item Selector de \textsl{clocks}.
289
\item Conector de módulos externos macho.
290
\item Conector de módulos externos hembra.
291
\item Entrada de voltaje de alimentación (5V).
292
\item Selector de modo de configuración.
293
\item Boton de RESET.
294
\item Conector de la plaqueta \emph{OOCDLink}.
295
\item Conector de alimentación de la placa \emph{S3Power}.
296
\item Memoria PROM.
297
\item LEDs.
298
\item Llaves DIP.
299
\item Chip FPGA XC3S200A.
300
\item Botones pulsadores.
301
\item Display de 7 segmentos cuádruple.
302
\item Conector para puerto serie.
303
\item Conector de alimentación para la placa PHR desde S3Power.
304
 
305
\end{enumerate}
306
 
307
\section{PHR}
308 136 guanucolui
\label{sec:phr-placa}
309
 
310 299 guanucolui
\subsection{El chip FPGA}
311
 
312
 
313
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
314
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
315
 
316
\subsubsection{Características principales}
317
 
318
\begin{itemize}
319
\item Número de compuertas: 200K
320
\item Celdas lógicas equivalentes: 4032
321
\item CLBs: 448 (distribuidos en 32 filas y 16 columnas)
322
\item Bits de RAM distribuida: 28K
323
\item Bits de Bloques de RAM: 288K
324
\item Multiplicadores dedicados: 16
325
\item DCMs: 4
326
\item Máximo número de E/S = 248
327
\item E/S pares diferenciales máximo: 112
328
 
329
\end{itemize}
330
 
331
 
332
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
333
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
334
 
335
\subsubsection{Descripción de la arquitectura}
336
 
337
La familia Spartan-3A tiene cinco bloques fundamentales a tener en cuenta respecto de la arquitectura:
338
 
339
\begin{description}
340
 
341
\item[Bloques Lógicos Configurables (CLBs)] contienen \textsl{Look-Up Tables} (LUTs) que implementan funciones lógicas y además sirven como elementos de almacenamiento.
342
 
343
\item[Bloques de Entrada/Salida (IOBs)] controlan el flujo de datos entre los pines de E/S y la lógica interna del dispositivo. Los IOBs soportan datos en forma bidireccional además de la operación 3-state.
344
 
345
\item[Bloque de RAM] sirve como forma de almacenamiento.
346
 
347
\item[Bloques multiplicadores] aceptan como entrada dos números de 18 bits cada uno y calcula el producto entre ambos.
348
 
349
\item[Bloque DCM (\textsl{Digital Clock Manager})] tiene la capacidad para distribuir, retardar, multiplicar, dividir y desplazar en fase las señales de clock.
350
 
351
\end{description}
352
 
353
\begin{figure}[h]
354
\begin{center}
355
  \includegraphics{./img/phr/arquitectura.pdf}
356
\end{center}
357
\caption[Bloques fundamentales de la FPGA]{Bloques fundamentales de la FPGA.}
358
\label{phr:arquitectura}
359
\end{figure}
360
 
361
Para el caso del chip XC3S200A, la Fig. \ref{phr:arquitectura} muestra la organización de estos bloques fundamentales dentro del dispositivo. Los IOBs se ubican alrededor de la matriz de CLBs. Los bloques de memoria RAM se encuentran en dos columnas, en cada una se disponen varios bloques de RAM de 18 Kbit asociados con un multiplicador dedicado. Dos DCM se ubican en el centro hacia arriba y otros dos en el centro hacia abajo.,
362
 
363
 
364
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
365
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
366
 
367
\subsubsection{Capacidades de E/S}
368
 
369
La familia Spartan-3A soporta varios estándares para sus entradas y salidas que deberán ser configurados apropiadamente para el uso específico.
370
 
371
\begin{itemize}
372
\item Terminales simples
373
%Para el caso de terminaciones simples estas FPGAs cumplen con:
374
\begin{itemize}
375
\item TTL de 3.3V (LVTTL).
376
\item CMOS de bajo voltaje (LVCMOS) en tensiones de 3.3V, 2.5V, 1.8V, 1.5V o 1.2V.
377
\item PCI de 3.3V a frecuencias de 33MHz o 66MHz.
378
\item HSTL I, II y III a 1.5V y 1.8V (comúnmente usado en memorias).
379
\item SSTL I y II a 1.8V, 2.5V y 3.3V (comúnmente usado en memorias).
380
\end{itemize}
381
 
382
%Los estándares con terminación diferencial que soportan son:
383
\item Terminales diferenciales
384
\begin{itemize}
385
\item Entradas/Salidas LVDS, mini-LVDS, RSDS y PPDS a 2.5V o 3.3V.
386
\item Bus LVDS a 2.5V.
387
\item TMDS a 3.3V.
388
\item HSTL y SSTL diferenciales.
389
\item Entradas LVPECL a 2.5V y 3.3V.
390
\end{itemize}
391
\end{itemize}
392
 
393
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
394
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
395
 
396
\subsubsection{Requerimientos de alimentación}\label{phr:alimentacion}
397
 
398
El chip XC3S200A tiene varias entradas de alimentación que se describen de manera sucinta en la Tabla \ref{phr:powersignals}. La FPGA cuenta con un circuito especializado de \textsl{Power-On Reset} (POR) que controla tres tensiones de alimentación (VCCINT, VCCAUX y VCCO2) y mantiene al chip en estado de reset hasta que se alcanzan los niveles seguros de trabajo para proseguir con la carga del sistema.
399
 
400
\begin{table}[h]
401
\begin{center}
402
\begin{tabular}{|c|p{8cm}|p{5cm}|}
403
        \hline
404
        \textbf{Entrada} & \textbf{Descripción} & \textbf{Tensión nominal} \\ \hline
405
        \hline
406
   VCCINT  & Es la tensión de alimentación del núcleo interno. Alimenta las funciones lógicas internas como los CLBs (\emph{Bloques Lógicos Configurables}) y los bloques de RAM.  & 1.2V    \\    \hline
407
   VCCAUX  & Fuente de tensión auxiliar. Alimenta elementos tales como los DCMs (\textsl{Digital Clock Managers}), drivers diferenciales, pines de configuración dedicados y la  interfaz JTAG.    & 2.5V o 3.3V    \\        \hline
408
   VCCO0  & Alimenta los buffers de salida del Banco de E/S número 0.    & Seleccionable entre 3.3V, 3.0V, 2.5V, 1.8V, 1.5V y 1.2V.    \\      \hline
409
   VCCO1  & Alimenta los buffers de salida del Banco de E/S número 1.    & Seleccionable entre 3.3V, 3.0V, 2.5V, 1.8V, 1.5V y 1.2V.   \\       \hline
410
   VCCO2  & Alimenta los buffers de salida del Banco de E/S número 2.    & Seleccionable entre 3.3V, 3.0V, 2.5V, 1.8V, 1.5V y 1.2V.    \\      \hline
411
   VCCO3  & Alimenta los buffers de salida del Banco de E/S número 3.    & Seleccionable entre 3.3V, 3.0V, 2.5V, 1.8V, 1.5V y 1.2V.    \\      \hline
412
\end{tabular}
413
\end{center}
414
\caption[Voltajes de alimentación]{Voltajes de alimentación para la familia Spartan-3A.}
415
\label{phr:powersignals}
416
\end{table}
417
 
418
A diferencia de otras FPGAs, la XC3S200A no tiene requerimientos respecto de la secuencia en se deben activar las fuentes de alimentación, pero si respecto de la pendiente de arranque. Los tiempos de pendiente recomendados se muestran en la Tabla \ref{phr:ramprate}.
419
 
420
 
421
\begin{table}[h]
422
\begin{center}
423
\begin{tabular}{|c|l|c|c|}
424
        \hline
425
        \textbf{Símbolo} & \textbf{Descripción} & \textbf{Min} & \textbf{Max} \\      \hline
426
        \hline
427
   VCCINTR & Rampa desde GND a VCCINT  & 0.2 ms & 100 ms   \\   \hline
428
        VCCAUXR & Rampa desde GND a VCCAUX  & 0.2 ms & 100 ms   \\      \hline
429
        VCCO2R  & Rampa desde GND a VCCO del Banco 2  & 0.2 ms & 100 ms   \\    \hline
430
\end{tabular}
431
\end{center}
432
\caption[Rampas de las fuentes de alimentación]{Tiempos de subida para las rampas al encender las fuentes de alimentación.}
433
\label{phr:ramprate}
434
\end{table}
435
 
436
 
437
Para mas información referida al sistema de alimentación ver el capitulo \ref{sec:s3power-placa} en la pág. \pageref{sec:s3power-placa}.
438
 
439
 
440
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
441
%NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION%
442
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
443
 
444
\subsection{Configuración de la FPGA}\label{phr:sec:fpga_conf}
445
 
446 303 guanucolui
La FPGA al inicializarse no contiene dato alguno y para que trabaje como lo desea el usuario debe  pasar por el proceso de \emph{configuración}. Los datos se cargan desde el exterior en \textsl{latches} de configuración CMOS (CCLs según las iniciales en inglés) y usando alguno de los siguientes modos aplicables a  la familia Spartan-3A \cite{Spartan3-Config}:
447 299 guanucolui
 
448
\begin{itemize}
449
\item \textsl{Master Serial} desde una memoria PROM Flash de Xilinx.
450
\item \textsl{Serial Peripheral Interface} (SPI) desde una memoria Flash SPI.
451
\item \textsl{Byte Peripheral Interface} (BPI) desde una memoria NOR Flash.
452
\item \textsl{Slave Serial}, típicamente cargada desde un procesador.
453
\item \textsl{Slave Parallel}, típicamente cargada desde un procesador.
454
\item \textsl{Boundary Scan} (JTAG), típicamente cargada desde un procesador.
455
\end{itemize}
456
 
457
La elección de cada uno de los modos se hace mediante tres pines de la FPGA a los que se hace referencia con M[2:0]. La Tabla \ref{phr:modes} muestra cuales son los valores lógicos de los modos aplicables para ésta familia de FPGA, y  se resaltan con color aquellos a los que se recurre en la placa PHR.
458
 
459
\begin{table}[h!]
460
\begin{center}
461
\begin{tabular}{|c|l|}
462
        \hline
463
        \textbf{Pines M[2:0]} & \textbf{Modo}  \\       \hline\hline
464
        \cellcolor{yellow!65}<0:0:0>  & \cellcolor{yellow!65}Modo \textsl{Master Serial}    \\  \hline
465
        <0:0:1>  & Modo \textsl{Master SPI}   \\        \hline
466
        <0:1:0>  & \textsl{BPI Up}   \\ \hline
467
        <0:1:1>  & Reservado \\ \hline
468
        <1:0:0>  & Reservado   \\       \hline
469
        \cellcolor{yellow!65}<1:0:1>  & \cellcolor{yellow!65}Modo JTAG   \\     \hline
470
        <1:1:0>  & Modo \textsl{Slave Parallel}    \\   \hline
471
        <1:1:1>  & Modo \textsl{Slave Serial}   \\      \hline
472
\end{tabular}
473
\end{center}
474
\caption[Seteo de los modos de configuración]{Seteo de los modos de configuración para la familia Spartan-3A. Se resaltan con amarillo los usados en la placa PHR.}
475
\label{phr:modes}
476
\end{table}
477
 
478
En la placa PHR los modos utilizados son el \emph{JTAG} (a través de la placa OOCDLink) y el \emph{Master Serial} (desde la memoria PROM XCF02S). Cuando se elije el primer método se configura el chip con una computadora que debe correr una aplicación al efecto. Asimismo, para aplicar el segundo método, hay que usar la placa OOCDLink para programar la PROM al menos una vez. De ahí en mas, con cada ciclo de arranque de la FPGA, ésta tendrá la posibilidad de trabajar en forma independiente de la computadora cargando los datos pre-grabados en la memoria.
479
 
480
La Fig. \ref{phr:confmodes} muestra el diagrama de como se ve la elección del modo de configuración a un nivel físico. Sin puente alguno se leen 3.3V en los pines M0 y M2, mientras que se lee 0V en M1 (la FPGA recibirá los datos desde JTAG). Al colocar un jumper entre los pines 1 y 2 se tira la tensión de M0 y M2 al potencial de masa (la FPGA intentará cargar desde la PROM).
481
 
482
\begin{figure}[h!]
483
\begin{center}
484
  \includegraphics{./img/phr/config_modes.pdf}
485
\end{center}
486
\caption[Modos de configuración]{Modos de configuración.}
487
\label{phr:confmodes}
488
\end{figure}
489
 
490
A nivel de usuario la selección se trata simplemente de cambiar la posición del jumper indicado con 5 en la Fig. \ref{intro:componentes}. Una ilustración de las dos posibles vías que toman los datos para alcanzar la FPGA se muestra en la Fig. \ref{phr:confmodes_sche}.
491
 
492
 
493
\begin{figure}[h!]
494
\begin{center}
495
  \includegraphics[width=15cm]{./img/phr/conf_mod_sche.pdf}
496
\end{center}
497
\caption[Modos de configuración]{Modos de configuración.}
498
\label{phr:confmodes_sche}
499
\end{figure}
500
 
501
 
502
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
503
%NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION%
504
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
505
 
506
\subsection{Fuentes de \textsl{clock}} \label{phr:sec:clocks}
507
 
508
La placa PHR provee a la FPGA de cuatro fuentes de reloj. Uno de los relojes (el más rápido) tiene una frecuencia de oscilación fija de 50 MHz. El resto tienen frecuencias seleccionables por usuario. En la Fig. \ref{intro:componentes} se indican con el numero 1 los jumpers con los cuales se eligen las frecuencias para estos relojes.
509
 
510
Los pines de la FPGA a los cuales se asignan  cada clock se muestran en la Tabla \ref{phr:pines-relojes}. Estos son pines de \textsl{Global Clock} que están especialmente diseñados para tratar señales de alta frecuencia. Proveen una capacidad asociada muy baja y un retardo uniforme para cada bloque dentro del chip.
511
 
512
\begin{table}[h!]
513
\begin{center}
514
\begin{tabular}{|c|c|c|c|c|}
515
        \hline
516
        \textbf{Reloj} & Fijo (50 MHz) &        Seleccionable 1 & Seleccionable 2 & Seleccionable 3 \\  \hline
517
        \textbf{Pin}   & 43     & 44     & 41     & 40     \\   \hline
518
\end{tabular}
519
\end{center}
520
\caption[Pines para los relojes]{Pines para los relojes.}
521
\label{phr:pines-relojes}
522
\end{table}
523
 
524
 
525
 
526
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
527
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
528
 
529
\subsubsection{El reloj de 50 MHz}
530
 
531
Esta frecuencia se genera con el dispositivo ACOL-50MHZ-EK, que tiene un oscilador a cristal y encuentra aplicaciones en chips digitales y microprocesadores. Se alimenta con un bajo nivel de tensión (3.3V) y su salida es compatible con HCMOS y TTL.
532
 
533
 
534
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
535
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
536
 
537
\subsubsection{Relojes seleccionables}\label{phr:sec:clock}
538
 
539
El conjunto de relojes se basa en un cristal y el chip contador MC74HC4060A. Una frecuencia principal de 16 MHz es dividida en dos sucesivamente por el contador para obtener todas las frecuencias seleccionables.
540
 
541
Los pines para selección de los relojes se muestran en la Fig. \ref{phr:relojes}. En los primeros dos relojes se puede elegir una de entre cuatro  frecuencias mientras que para el tercer reloj se puede elegir una de entre tres frecuencias.
542
 
543
\begin{figure}[h!]
544
\begin{center}
545
  \includegraphics{./img/phr/relojes.pdf}
546
\end{center}
547
\caption[Selectores de los relojes en la placa]{Selectores de los relojes en la placa.}
548
\label{phr:relojes}
549
\end{figure}
550
 
551
El conexionado de los jumpers para los clocks se muestra junto con las posibles frecuencias seleccionables en la Fig. \ref{phr:relojesSeteo}.
552
 
553
\begin{figure}[h!]
554
\begin{center}
555
  \includegraphics{./img/phr/relojesSeteo.pdf}
556
\end{center}
557
\caption[Configuración de los relojes]{Disposición de los jumpers para la configuración de los relojes.}
558
\label{phr:relojesSeteo}
559
\end{figure}
560
 
561
 
562
 
563
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
564
%NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION%
565
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
566
 
567
\subsection{Periféricos}\label{phr:sec:perifericos}
568
 
569
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
570
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
571
 
572
\subsubsection{LEDs}
573
 
574
En la placa se encuentran ocho LEDs de montaje superficial indicados con el numero 10 en la Fig. \ref{intro:componentes}. Son etiquetados desde LED1 a LED8 y su relación con los pines de la FPGA se muestra en la Tabla \ref{phr:LEDpins}.
575
 
576
 
577
\begin{table}[h!]
578
\begin{center}
579
\begin{tabular}{|c|c|c|c|c|c|c|c|c|}
580
        \hline
581
        \textbf{Periférico} & LED1 & LED2 & LED3 & LED4 & LED5 & LED6 & LED7 & LED8 \\ \hline
582
        \textbf{Pin}        & 84   & 86   & 89   & 93   & 98   & 3    & 5    & 7    \\  \hline
583
\end{tabular}
584
\end{center}
585
\caption[Pines para los LEDs]{Correspondencia entre los  pines de la FPGA y los LEDs (periféricos).}
586
\label{phr:LEDpins}
587
\end{table}
588
 
589
Los cátodos de cada LED se conectan a potencial cero y los ánodos se conectan a los pines respectivos de la FPGA mediante un resistencia de 330 $\Omega$. Para encender un determinado LED basta con poner en alto la señal de control.
590
 
591
 
592
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
593
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
594
 
595
\subsubsection{Pulsadores (\textsl{Tact switches})}
596
 
597
Están disponibles cuatro botones pulsadores como los esquematizados en la Fig. \ref{phr:tact} y son identificados con el numero 13 en la Fig. \ref{intro:componentes}. Los mismos son etiquetados como PBTN1, PBTN2, PBTN3 y PBTN4. Los pines de la FPGA relacionados con estos periféricos se identifican en la Tabla \ref{phr:PBTNpins}. El esquemático detallado del circuito puede encontrarse en el Apéndice \ref{appendix:sche}.
598
 
599
\begin{figure}[h!]
600
\begin{center}
601
  \includegraphics{./img/phr/tact_switch.pdf}
602
\end{center}
603
\caption{\textsl{Tact switches}.}
604
\label{phr:tact}
605
\end{figure}
606
 
607
\begin{table}[h!]
608
\begin{center}
609
\begin{tabular}{|c|c|c|c|c|}
610
        \hline
611
        \textbf{Periférico} & PBTN1 & PBTN2 & PBTN3 & PBTN4 \\ \hline
612
        \textbf{Pin}        & 77    & 78    & 82    & 83    \\  \hline
613
\end{tabular}
614
\end{center}
615
\caption[Pines para los botones]{Correspondencia entre los  pines de la FPGA y los botones.}
616
\label{phr:PBTNpins}
617
\end{table}
618
 
619
Cuando se presiona alguno de los botones se genera un valor lógico alto en el pin asociado de la FPGA. No hay circuito antirrebote y esto debe ser tenido en cuenta al momento de escribir el código que luego vaya a cargarse en el dispositivo.
620
 
621
 
622
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
623
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
624
 
625
\subsubsection{Llaves DIP}
626
 
627
Alternativamente a los pulsadores se puede optar como periféricos de entrada a unas llaves DIP como se muestran en la Fig. \ref{phr:DIP}. La ubicación de las llaves en la placa PHR se muestra con el índice numero 11 en la Fig. \ref{intro:componentes}. El circuito de estas llaves puede consultarse en el Apéndice \ref{appendix:sche} y los pines de la FPGA que los controlan se revelan en la Tabla \ref{phr:DIPpins}.
628
 
629
\begin{table}[h!]
630
\begin{center}
631
\begin{tabular}{|c|c|c|c|c|c|c|c|c|}
632
        \hline
633
        \textbf{Periférico} & SW1 & SW2 & SW3 & SW4 & SW5 & SW6 & SW7 & SW8 \\ \hline
634
        \textbf{Pin}        & 85  & 88  & 90  & 94  & 97  & 4   & 6   & 9   \\  \hline
635
\end{tabular}
636
\end{center}
637
\caption[Pines para las llaves]{Correspondencia entre los  pines de la FPGA y las llaves.}
638
\label{phr:DIPpins}
639
\end{table}
640
 
641
Cuando una llave se coloca en la posición de \emph{encendido}, el pin de la FPGA correspondiente se pone a un valor lógico \emph{alto}. En contraposición, si la llave se coloca en la posición \emph{apagado}, la FPGA leerá un valor lógico \emph{bajo}. Al igual que con el caso de los botones pulsadores, no se provee un circuito antirrebote, y debe ser tenido en cuenta en el diseño del sistema.
642
 
643
\begin{figure}[h!]
644
\begin{center}
645
  \includegraphics{./img/phr/DIPswitch.pdf}
646
\end{center}
647
\caption{\textsl{DIP switches}.}
648
\label{phr:DIP}
649
\end{figure}
650
 
651
 
652
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
653
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
654
 
655
\subsubsection{Displays de 7 segmentos}
656
 
657
La placa PHR cuenta con un display de siete segmentos cuádruple de \emph{ánodo común} indicado con el índice 14 en la Fig. \ref{intro:componentes}. El circuito de conexión entre la FPGA y el display se muestra en la Fig. \ref{phr:quad7seg} y se resalta la denominación alfabética para los segmentos de cada display.
658
 
659
Esta misma figura además muestra como ejemplo, el estado de los pines de la FPGA para indicar el numero 3 en la posición 2. Al tener esta configuración, cada LED encenderá con un \emph{nivel bajo} en el pin correspondiente al segmento pero además necesitará que el ánodo del carácter particular esté energizado. Este ultimo también es activo por bajo (\textsl{active low}).
660
 
661
\begin{figure}[h!]
662
\begin{center}
663
  \includegraphics{./img/phr/quad7seg.pdf}
664
\end{center}
665
\caption[Circuito del display de siete segmentos]{Conexionado del display de siete segmentos cuádruple.}
666
\label{phr:quad7seg}
667
\end{figure}
668
 
669
Para dar el efecto deseado de representar cuatro caracteres distintos a la vez, se recurre a la técnica de multiplexación en el dominio del tiempo. La técnica consiste en mostrar uno a uno y cíclicamente cada carácter a una frecuencia lo suficientemente alta para que el ojo humano persiva una imagen completa. Un diagrama temporal de las señales se muestra en la Fig. \ref{phr:multiplex}.
670
 
671
\begin{figure}[h!]
672
\begin{center}
673
  \includegraphics{./img/phr/multiplex.pdf}
674
\end{center}
675
\caption[Diagrama temporal de la multiplexación]{Diagrama temporal de la multiplexación.}
676
\label{phr:multiplex}
677
\end{figure}
678
 
679
Si bien el método requiere algo mas de complejidad que la conexión directa a cada segmento de cada display, reduce el numero de pines necesarios de $8 \times 4=32$ a $8+4=12$ lo cuál representa un significativo ahorro en recursos de hardware.
680
 
681
La Tabla \ref{phr:quad7seg:pines} muestra los pines de conexión de la FPGA a las distintas entradas del periférico. La Fig \ref{phr:quad7seg:chars} muestra las representaciones de los caracteres comunes en los displays de siete segmentos. Además de los dígitos, se pueden utilizar los caracteres desde la A a la F para representar números en notación hexadecimal.
682
 
683
\begin{table}[h!]
684
\begin{center}
685
 
686
\begin{tabular}{|c|c|c|c|c|}
687
        \hline
688
        \textbf{Periférico} & Caracter1 & Caracter2 & Caracter3 & Caracter4 \\ \hline
689
        \textbf{Pin}        & 59        & 57        & 61        & 60        \\  \hline
690
\end{tabular}
691
 
692
\vspace{.2cm}
693
 
694
\begin{tabular}{|c|c|c|c|c|c|c|c|c|}
695
        \hline
696
        \textbf{Segmento} & A  & B  & C  & D  & E  & F  & G  & DP  \\   \hline
697
        \textbf{Pin}      & 65 & 64 & 72 & 70 & 68 & 62 & 73 & 71  \\   \hline
698
\end{tabular}
699
 
700
\end{center}
701
\caption[Pines para el diplay de segmentos]{Conexionado del diplay de 7 segmentos cuádruple a la FPGA.}
702
\label{phr:quad7seg:pines}
703
\end{table}
704
 
705
\begin{figure}[h!]
706
\begin{center}
707
  \includegraphics{./img/phr/chars.pdf}
708
\end{center}
709
\caption[Caracteres comunes en los displays de 7 segmentos]{Representación de caracteres comunes en los displays de siete segmentos.}
710
\label{phr:quad7seg:chars}
711
\end{figure}
712
 
713
 
714
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
715
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
716
 
717
\subsubsection{Puerto serie}
718
 
719
La placa PHR dispone de un puerto serial RS-232. El conector DB9 hembra/macho se señala con el numero 15 en la Fig. \ref{intro:componentes}. La placa representa un \textsl{Data Communications Equipment} (DCE) y se puede conectar a una computadora personal con un cable serial derecho.
720
 
721
La Fig. \ref{phr:3232} muestra el circuito de la interfaz entre la FPGA y el conector DB9. Un chip (ST3232) permite una alimentación de entre 3V y 5.5V y utiliza un conjunto de capacitores para alcanzar los niveles de tensión RS-232, garantizando tasas de transferencia de hasta 250 kbps.
722
 
723
El control de flujo por hardware está deshabilitado. Las lineas de DCD, DTR y DSR se conectan entre sí. Analogamente para las señales RTS y CTS.
724
 
725
Los pines de la FPGA que controlan las señales seriales se muestran en la Tabla \ref{phr:RS232pins}.
726
\begin{figure}[h!]
727
\begin{center}
728
  \includegraphics{./img/phr/3232.pdf}
729
\end{center}
730
\caption[Circuito de la interfaz RS-232]{Circuito de la interfaz RS-232.}
731
\label{phr:3232}
732
\end{figure}
733
 
734
\begin{table}[h!]
735
\begin{center}
736
\begin{tabular}{|c|c|c|}
737
        \hline
738
        \textbf{Señal serial}   & RX & TX  \\  \hline
739
        \textbf{Pin en la FPGA} & 52 & 56  \\   \hline
740
\end{tabular}
741
\end{center}
742
\caption[Pines para la conexión RS-232]{Correspondencia entre los  pines de la FPGA y el puerto serie RS-232.}
743
\label{phr:RS232pins}
744
\end{table}
745
 
746
 
747
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
748
%NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION%
749
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
750
 
751
\subsection{Entradas y salidas de propósito general} \label{phr:sec:gpio}
752
 
753
Para que el usuario realice prototipos,  use placas de expansión de terceros o diseñe sus propias placas de expansión, se proveen dos conectores, uno macho y otro hembra, que pueden reconocerse respectivamente con los números 2 y 3 en la Fig. \ref{intro:componentes}. Una imagen ampliada se muestra en la Fig. \ref{phr:gpio} indicando además la numeración de los pines. La mayoría de estos pines están conectados directamente al chip FPGA tal como lo muestra la Tabla \ref{phr:GPIOpins}. También se proveen pines de alimentación de 3.3V y GND.
754
 
755
\begin{figure}[h!]
756
\begin{center}
757
  \includegraphics{./img/phr/gpio_header.pdf}
758
\end{center}
759
\caption[Conectores de propósito general]{Conectores para entradas y salidas de propósito general.}
760
\label{phr:gpio}
761
\end{figure}
762
 
763
\begin{table}[h!]
764
\begin{center}
765
\begin{tabular}{|c|c|c|c|c|c|}
766
        \hline
767
        \multicolumn{6}{ |c| }{\emph{Conector macho}} \\
768
        \hline
769
        \hline
770
        \textbf{Dir} & \textbf{Conectado a} & \textbf{Pin} & \textbf{Pin} & \textbf{Conectado a} & \textbf{Dir} \\ \hline\hline
771
        E & FPGA Pin 39 &  1 &  2 & FPGA Pin 50 & E/S \\ \hline
772
        E/S & FPGA Pin 37 &  3 &  4 & FPGA Pin 49 & E/S \\ \hline
773
        E/S & FPGA Pin 36 &  5 &  6 & FPGA Pin 46 & E/S \\ \hline
774
        E/S & FPGA Pin 35 &  7 &  8 & FPGA Pin 34 & E/S \\ \hline
775
        E/S & FPGA Pin 33 &  9 & 10 & FPGA Pin 32 & E/S \\ \hline
776
        E/S & FPGA Pin 31 & 11 & 12 & FPGA Pin 30 & E/S \\ \hline
777
        E/S & FPGA Pin 29 & 13 & 14 & +3.3V       &  \\ \hline
778
        E/S & FPGA Pin 28 & 15 & 16 & No conectado &  \\ \hline
779
        E/S & FPGA Pin 27 & 17 & 18 & GND         &   \\ \hline
780
\end{tabular}
781
 
782
\vspace{0.5cm}
783
 
784
\begin{tabular}{|c|c|c|c|c|c|}
785
        \hline
786
        \multicolumn{6}{ |c| }{\emph{Conector hembra}} \\
787
        \hline
788
        \hline
789
        \textbf{Dir} & \textbf{Conectado a} & \textbf{Pin} & \textbf{Pin} & \textbf{Conectado a} & \textbf{Dir} \\ \hline\hline
790
        E & FPGA Pin 21 & 1 &  2 & FPGA Pin 20 & E/S \\ \hline
791
        E/S & FPGA Pin 19 & 3 &  4 & FPGA Pin 16 & E/S \\ \hline
792
        E/S & FPGA Pin 15 & 5 &  6 & FPGA Pin 13 & E/S \\ \hline
793
        E/S & FPGA Pin 12 & 7 &  8 & +3.3V       &  \\ \hline
794
        E/S & FPGA Pin 10 & 9 & 10 & GND         &  \\ \hline
795
\end{tabular}
796
\end{center}
797
\caption[Pines para las E/S de propósito general]{Conexión de los pines para las entradas/salidas de propósito general.}
798
\label{phr:GPIOpins}
799
\end{table}
800
 
801
 
802
\section{OOCDLink}
803
\label{sec:oocdlink-placa}
804
 
805
Esta placa es la interfaz que permite la comunicación entre una computadora y la placa PHR. Su característica modular, o de circuito separado de la placa PHR principal, hace que su utilización no quede restringida a la FPGA y posibilita la interacción con los multiples dispositivos que soportan JTAG.
806
 
807
La idea original de esta placa no nace en este proyecto sino que es parte de los pensamientos de Joern Kaipf quien publica su diseño en  su sitio web\footnote{Diríjase a \texttt{http://www.oocdlink.com/}.}.
808
 
809
La placa OOCDLink se muestra en la Fig. \ref{oocdlink:componentes} donde también identifican los elementos principales que la constituyen. Un esquemático mas detallado se muestra en el Apéndice \ref{appendix:sche}.
810
 
811
\begin{figure}[h]
812
\begin{center}
813
  \includegraphics{./img/oocdlink/oocdlink_top.pdf}
814
\end{center}
815
\caption[Componentes de la placa OOCDLink]{Componentes de la placa OOCDLink.
816
1) Conector UART,
817
2) LED RX (UART),
818
3) LED TX (UART),
819
4) Conector JTAG,
820
5) LED FT\_OK,
821
6) Conector USB 2.0,
822
7) EEPROM,
823
8) FT2232D,
824
9) LED Alimentación,
825
10) Oscilador de 6 MHz.
826
}
827
\label{oocdlink:componentes}
828
\end{figure}
829
 
830 303 guanucolui
El dispositivo que cumple la función primordial dentro de la placa OOCDLink es el chip FT2232D \cite{DS-FT2232D}. Este es un conversor de USB a UART u otras interfaces seriales que dispone de dos canales de comunicación (\emph{conversor dual}).
831 299 guanucolui
 
832
Si bien para el fin de configurar la FPGA es suficiente solo uno de los canales (configurado en modo JTAG), en la placa además se encuentra habilitado el segundo canal (configurado como UART) para que se use con propósitos generales. Los conectores para los canales JTAG y UART se indican en la Fig. \ref{oocdlink:componentes} con los números 4 y 1 respectivamente.
833
 
834
El conector JTAG es el que debe usarse para el acoplamiento con la placa PHR mediante un adaptador que cambia la disposición mecánica de los pines.
835
%El \textsl{layout} de una placa adaptadora se muestra en la Fig. \ref{oocdlink:adaptador}. Tiene como agregado un indicador LED para el voltaje de alimentación y un capacitor de filtro.
836
 
837
%\begin{figure}[h]
838
%\begin{center}
839
%  \includegraphics{./img/oocdlink/adaptador.pdf}
840
%\end{center}
841
%\caption[Adaptador entre PHR y OOCDLink]{Conector adaptador entre placas PHR y OOCDLink.}
842
%\label{oocdlink:adaptador}
843
%\end{figure}
844
 
845
La placa OOCDLink tiene varios LEDs indicadores. El LED numerado con 9 en la Fig. \ref{oocdlink:componentes} ilumina cuando la  placa está encendida. Aquellos demarcados con los números 2 y 3 (RX y TX) encienden cuando el chip tiene flujos de datos en la UART. El LED indicado con 5 (FT\_OK) señala si hay un dispositivo JTAG activo y conectado a la placa OOCDLink.
846
 
847
 
848
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
849
%NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION  NEW SECTION%
850
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
851
 
852
\subsection{El chip FT2232D}
853
 
854
Algunas características del FT2232D que se pueden destacar son:
855
\begin{itemize}
856
\item Cumple con las especificaciones de USB 2.0 Full Speed (12 Mbits/sec).
857
\item Simplifica la comunicación de USB con los protocolos de comunicaciones seriales JTAG, I2C y SPI.
858
\item Tiene una tasa de transferencia de entre 300 y 3 MBaud.
859
\item Desde el sistema operativo, la interfaz puede verse como un \emph{puerto serie virtual} (necesita el driver que provee el fabricante sin costo adicional).
860
\item También están disponibles librerías para facilitar el uso de JTAG, I2C y SPI (compatible con sistemas Windows y Linux).
861
\end{itemize}
862
 
863
La Fig. \ref{oocdlink:ftblock} muestra de manera esquemática el funcionamiento del chip aplicado a la placa OOCDLink.
864
 
865
\begin{figure}[h]
866
\begin{center}
867
  \includegraphics{./img/oocdlink/FTblock.pdf}
868
\end{center}
869
\caption[Diagrama de bloques del chip FT2232D]{Empleo del chip FT2232D.}
870
\label{oocdlink:ftblock}
871
\end{figure}
872
 
873
El chip necesita que por fuera se provean una memoria EEPROM (para almacenar los datos de configuración) y una señal de reloj de 6 MHz.
874
 
875
El flujo de datos (descripto desde la computadora del usuario) comienza  por el bus de comunicaciones USB (función en la que interviene el \emph{transceptor USB}), luego sigue a través del \emph{Motor serial} (que podría considerarse el núcleo del sistema) y continua por alguno de los dos controladores capaces de manejar protocolos seriales.
876
 
877
El canal A es el que efectivamente permite implementar una interfaz JTAG cuando trabaja en el modo denominado \emph{MPSSE}  (\textsl{Multi-Protocol Synchronous Serial Engine}). El canal B tiene posibilidades de funcionamiento mas acotadas por lo que se usa como UART.
878
 
879
Para usar apropiadamente las interfaces se pueden usar los controladores de sistema operativo que el fabricante pone a disposición de los usuarios de Windows y Linux.
880
 
881
 
882
\section{S3Power}
883 136 guanucolui
\label{sec:s3power-placa}
884
 
885 303 guanucolui
Tal como se describió en el capitulo \ref{sec:phr-placa}, el chip FPGA tiene requerimientos de tensión que deben satisfacerse para que funcione correctamente. Para cumplir con las especificaciones se utiliza la placa S3Power\footnote{Puede consultar el paper \emph{Módulo de alimentación para placas con dispositivos FPGA}, por Christian Huy y Diego Brengi, del \emph{Instituto Nacional de Tecnología Industrial}.}, que fue desarrollada por el \emph{Instituto Nacional de Tecnología Industrial} (INTI) y que está disponible bajo licencia GNU \cite{Paper-S3power}.
886 299 guanucolui
Una imagen de la placa se muestra en la Fig. \ref{s3power:componentes} en donde también se señalan sus principales elementos.
887
 
888
\begin{figure}[h]
889
\begin{center}
890
  \includegraphics{./img/s3power/s3power_top.pdf}
891
\end{center}
892
\caption[Componentes de la placa S3Power]{Componentes de la placa S3Power. Las distintas partes resaltadas representan: 1) LED de tensión VCCO, 2) LED de tensión VCCAUX, 3) Conector de salida de la placa, 4) Chip TPS75003, 5) Conector de tensión de entrada.}
893
\label{s3power:componentes}
894
\end{figure}
895
 
896
La placa se alimenta con una tensión de 5V y en la salida es capaz de proporcionar tres valores de tensión regulados:
897
 
898
\begin{itemize}
899
\item 1.2V y 2.5A para la lógica interna.
900
\item 3.3V y 2.5A para los bancos de pines.
901
\item 2.5V y 200mA para el módulo de comunicación JTAG.
902
\end{itemize}
903
 
904
El componente principal de la placa es el chip TPS75003 que no solo se encarga de regular las tensiones, sino que además asegura un arranque lo suficientemente suave para las FPGA actuales y sus predecesoras con requerimientos mas exigentes. Un diagrama temporal de la repuesta en el arranque se muestra en la Fig. \ref{s3power:arranque}.
905
 
906
\begin{figure}[h]
907
\begin{center}
908
  \includegraphics{./img/s3power/arranque.pdf}
909
\end{center}
910
\caption[Arranque de la placa S3Power]{Tensiones de salida en el arranque.}
911
\label{s3power:arranque}
912
\end{figure}
913
 
914
\subsection{El chip TPS75003}
915
 
916
Este chip es un regulador de tensiones de \emph{Texas Instruments} especialmente diseñado para servir de fuente para las familias de FPGA de Xilinx Spartan-3, Spartan-3E y Spartan-3L. Entre las características mas importantes se pueden mencionar:
917
 
918
\begin{itemize}
919
\item Posee tres reguladores de tensión: Dos tipo Buck de 3A y eficiencia del 95\% y otro regulador lineal de 300 mA.
920
\item Voltaje de entrada de entre 2.2V y 6.5 V.
921
\item Arranque suave e independiente para cada regulador.
922
\item Tensiones ajustables de 1.2 V a 6.5 V para los convertidores Buck y de 1.0 V a 6.5 V para el convertidor lineal.
923
\end{itemize}
924
 
925
 
926
\section{Proceso para el diseño de Placas}
927
\label{sec:proceso-placas}
928
 
929
El desarrollo de una placa sigue un proceso que se podría describir como la Figura \ref{fig:hw-ciclo}. Cada una de estas etapas se encuentra documentada y ha sido utilizada para el presente informe. La interacción entre las diferentes etapas se representa por flechas. De aquí se puede obtener otra información sobre la metodología de trabajo, que es el sentido en que se comparte información. Por ejemplo, la etapa denominada \emph{Especificaciones generales} proporciona información a las etapas de \emph{Diseño del esquemático} y la etapa \emph{Diseño de la placa electrónica}, y a su vez estas últimas ofrecen información a la primera para asegurar que determinados aspectos definidos inicialmente se estén cumpliendo. Se hace una breve descripción de las etapas.
930
 
931
\begin{figure}[h]
932
  \centering
933
  \includegraphics[width=0.7\textwidth]{images/hardware_ciclo_diseno}
934
  \caption{Proceso de diseño de las placas}
935
  \label{fig:hw-ciclo}
936
\end{figure}
937
 
938
\begin{description}
939
\item[Especificaciones generales] En el diseño de un circuito electrónico se deben determinar las pautas y características que éste debe cumplir. Por ejemplo, tecnología a utilizar, dimensiones, costo, etc..
940
\item[Diseño del esquemático] Con la utilización de herramientas de \textsl{software}, en este caso kiCAD\footnote{kiCAD es un entorno de \textsl{software} usado para el diseño de circuitos electrónicos. El paquete kiCAD posee licencia GNU GPL (licencia libre).}, se realiza el diseño del esquema de conexiones del circuito eléctrico. Aquí se representan los componentes electrónicos con símbolos y bloques en vez de sus verdaderas formas físicas, lo que facilita su interpretación.
941
\item[Diseño de la placa electrónica] Luego de obtener el circuito esquemático, se debe convertir dicho esquema en su equivalente real. Aquí sí se tiene un modelo de los dispositivos electrónicos reales (dimensiones y formas), con la ventaja que las conexiones eléctricas se encuentran ya definidas. Lo importante de esta etapa es definir la dimensión de la placa, como así también la disposición de cada componente electrónico.
942
\item[Selección de componentes electrónicos] En esta etapa se lleva un registro de los dispositivos electrónicos a utilizar. Para el caso de la generación del circuito esquemático, se determina que simbología utilizar en la representación de los componentes. Y en el caso de la generación de la placa, cada símbolo debe tener su representación física real. Gran parte de esta etapa se basa en documentación para generar la compra de los materiales necesarios.
943
\item[Armado y pruebas funcionales] El montaje de los componentes electrónicos sobre las placas requieren toda la documentación previa generadas en las etapas anteriores. Una vez que se logran ensamblar todos los dispositivos, se realizan pruebas sobre éstas, donde se registran e intentan resolver los inconvenientes que se presenten. Es esta etapa clave donde se define si el desarrollo ha sido exitoso o deben realizarse modificaciones, lo que implica volver al comienzo, desde la etapa \emph{Especificaciones generales}.
944
\item[Modificaciones] El desarrollador evalúa la ``gravedad'' de los inconvenientes presentados en la etapa de \emph{Armado y pruebas funcionales}. También se aclara que resulta fundamental la documentación en esta etapa ya que servirá para describir como se ha llegado a una versión funcional.
945
\item[Versión Final] En el caso ideal, luego de que se hayan realizado las pruebas correspondientes sobre la placa, y todas éstas hayan sido exitosas, la documentación final se referencia a dicha versión.
946
\end{description}
947
 
948
\section{Conceptos para el Armado}
949
\label{sec:armado}
950
 
951
Aquí se busca una metodología a implementar en el proceso de armado de las placas. Si bien a primera vista resulta una actividad sencilla, aquí se utilizan tecnologías SMD para los encapsulados y al tener varios componentes es necesario documentar el proceso a seguir. Además se tiene en cuenta que la documentación generada será útil para otros proyectos similares. El proceso de armado podría componerse por,
952
 
953
\begin{itemize}
954
\item Identificación de los componentes.
955
\item Instalación y reconocimiento de las herramientas necesarias para el proceso de soldadura SMD.
956
\item Testeo visual (utilización de cámaras con zoom) y eléctrico sobre los pines de alimentación de los dispositivos SMD, especialmente los dispositivos semiconductores.
957
\end{itemize}
958
 
959
\subsection{Identificación  de los componentes}
960
\label{sec:arm-ide-comp}
961
 
962
Para el armado de las diferentes placas, en principio, se podría suponer que se quieren montar y soldar todos los componentes. Por lo tanto se necesitará disponer de la lista de componentes por cada una de las placas. Se debe tener en cuenta que los componentes SMD son de dimensiones muy pequeñas y para algunos dispositivos pasivos no se encuentra visible su valor.
963
 
964
Por otro lado, los componentes que tienen una gran cantidad de pines y dimensiones chicas (como por ejemplo los encapsulados SMD de los microprocesadores, QFN) son los primeros en ser soldados. Al requerir mayor precisión en la ubicación sobre sus pines es recomendable que no se tenga la incomodidad de otros componentes en aproximaciones del componente.
965
 
966
\begin{figure}[hb]
967
  \centering
968
  \subfloat[\footnotesize{Soldadura simple.}]{\label{fig:smd-sold-simple}\includegraphics[width=0.3\textwidth]{images-sch3/smd-sold-simple}}
969
  \hfill
970
  % --
971
  \subfloat[\footnotesize{Soldadura media.}]{\label{fig:smd-sold-media}\includegraphics[width=0.3\textwidth]{images-sch3/smd-sold-media}}
972
  \hfill
973
  % --
974
  \subfloat[\footnotesize{Soldadura compleja.}]{\label{fig:smd-sold-complex}\includegraphics[width=0.2\textwidth]{images-sch3/smd-sold-complex}}
975
  % --
976
  \caption{Diferentes niveles de complejidad en el soldado de componentes con varios pines SMD.}
977
  \label{fig:smd-sold}
978
\end{figure}
979
 
980
\subsection{Herramientas para soldadura SMD}
981
\label{sec:arm-smd-tools}
982
 
983
La soldadura de componentes SMD fácilmente puede ser realizada con los soladores comunes. Los equipos especializados para la soldadura de componentes SMD son costosos y en algunos casos innecesarios o fácilmente suplantado con soldadores básicos. Lo que realmente marca una diferencia entre realizar una buena o mala soldadura es tener en cuenta,
984
 
985
\begin{description}
986
\item[... un buen Flux] El flux es una resina adherente, que mejora substancialmente la adherencia del estaño. Esta sustancia se presenta en dos formas, una líquida y otra en pasta.
987
\item[... una buena máscara del PCB] La máscara de una placa PCB permite identificar el área sobre el pads que está en contacto con pin/pines de componente a soldar. Esta máscara es de un material aislante y térmicamente resistente al proceso de soldadura. De no existir esta capa sobre el cobre, el estaño se desplazaría por todas las pistas.
988
\end{description}
989
 
990
Obviamente que se necesitarán otras herramientas comunes como son,
991
 
992
\begin{itemize}
993
\item Lupa o cámara de video con zoom óptico y digital
994
\item Estaño de 0.5 mm de diámetro
995
\item Pinzas para sostener componentes pequeños (SMD)
996
\item Alcohol isopropílico y telas de algodón
997
\item Mallas de cobre para retirar estaño
998
\end{itemize}
999
 
1000
Cada una de las placas que se armaron siguieron la estructura planteada. En las secciones siguientes se muestran las diferentes placas ya listas y se realizarán observaciones que se encontraron en su armado.
1001
 
1002
\section{Placas armadas}
1003
\label{sec:placas}
1004
 
1005
Las placas que se listan a continuación fueron armadas en el orden cronológico dispuesto. El orden fue propuesto por la complejidad que presentan cada una de ellas y la rapidez con la que se podría probar independientemente una de otra.
1006
 
1007
\begin{itemize}
1008
\item OOCDLinks
1009
\item S3Power
1010
\item PHRBoard
1011
\end{itemize}
1012
 
1013 302 guanucolui
Las primeras dos placas ya fueron testeadas anteriormente pero en estas versiones se presentan cambios que no son significativos. La última placa es la continuación del desarrollo llevado anteriormente con la placa FPGA (PHR version BETA)\cite{schedule1}.
1014 299 guanucolui
 
1015
En la descripción de cada una de las placas armadas se marcarán las \emph{modificaciones} necesarias para mejorar las próximas versiones.
1016
 
1017
\newpage{}
1018
\clearpage{}
1019 136 guanucolui
\subsection{OOCDLink}
1020 299 guanucolui
\label{sec:oocdlink}
1021 136 guanucolui
 
1022 299 guanucolui
\begin{figure}[h!]
1023
  \centering
1024
  \includegraphics[width=0.3\textwidth]{images/OOCDLink-pcb-layers}
1025
  \caption{Distribución de los componentes en la placa \emph{OOCDLink}.}
1026
  \label{fig:oocdlik-pcb-layers}
1027
\end{figure}
1028
 
1029
\begin{figure}[h!]
1030
  \centering
1031
  \subfloat[\footnotesize{Perspectiva 1.}]{\label{fig:oocdlink-pcb-3d-1}\includegraphics[width=0.4\textwidth]{images/OOCDLinks-pcb-3d-1}}
1032
  \hfill
1033
  % --
1034
  \subfloat[\footnotesize{Perspectiva 2.}]{\label{fig:oocdlink-pcb-3d-2}\includegraphics[width=0.4\textwidth]{images/OOCDLinks-pcb-3d-2}}
1035
  \caption{Modelo en 3D de la placa \emph{OOCDLink}.}
1036
  \label{fig:oocdlink-pcb-3d}
1037
\end{figure}
1038
 
1039
\begin{figure}[h!]
1040
  \centering
1041
  \subfloat[\footnotesize{Perspectiva 1.}]{\label{fig:oocdlink-foto-1}\includegraphics[width=0.4\textwidth]{images/OOCD_placa-foto-1}}
1042
  \hfill
1043
  % --
1044
  \subfloat[\footnotesize{Perspectiva 2.}]{\label{fig:oocdlink-foto-2}\includegraphics[width=0.4\textwidth]{images/OOCD_placa-foto-2}}
1045
  \caption{Fotografías de la placa \emph{OOCDLink}.}
1046
  \label{fig:oocdlink-foto}
1047
\end{figure}
1048
 
1049
\subsubsection{Modificaciones}
1050
\label{sec:oocdlink-modif}
1051
 
1052 302 guanucolui
La placa OOCDLink fue la primera en ser armada y probada. Sobre esta placa se realizó un reporte \cite{schedule1} donde se explica como usar con diferentes placas que implementan el protocolo JTAG para la programación y depuración del dispositivo central utilizado. En el proceso de testeo se encontraron varios cambios a implementar en las nuevas versiones. A continuación se describen los más relevantes.
1053 299 guanucolui
 
1054
\paragraph{Gabinete}
1055
 
1056
Se podría pensar en dimensionar la nueva versión de la placa para que quepa en algún gabinete estándar. Por lo pronto la versión actual tiene agujeros para sujetar en un principio a una base de acrílico.
1057
 
1058
\paragraph{Eliminar resistores}
1059
 
1060
Se podría pensar en eliminar los resistores que se encuentran conectados entre el FT2232D y el conector JTAG. Estos resistores son: $R17$, $R18$ y $R19$. Originalmente se utilizaban los resistores para que la tensión $V_{REF}$, a $3.3V$, y las señales $TDI$, $TMS$ y $TCK$, a $2.5V$, que darán todas adaptadas. Es decir, los resistores funcionaban como divisores resistivos. Para la placa PHR se utilizan las señales JTAG (TDI, TDO, TMS, TCK) y $V_{REF}$ a $2.5V$. Por lo que ya no se necesitan los resistores divisores.
1061
 
1062
\paragraph{Cambio de conector JTAG}
1063
 
1064
Se podría pensar en utilizar otro conector más pequeño relacionado a las dimensiones. El conector que utiliza la placa OOCDLink actualmente es un conector para microcontroladores ARM7, ARM9, ARM10 y XSCALE, denominado \emph{ARM 20-PIN}. Se podría apuntar a que el conector sea compatible con dispositivos programables PLDs de Xilinx. Por ejemplo, el \emph{Xilinx Parallel Cable IV 14-PIN}. En el caso de no querer perder la compatibilidad con las señales de \textsl{debugging} para los microcontroladores ARM, se podría utilizar el mismo conector ARM 20-PIN pero con un encapsulado más pequeño.
1065
 
1066
\paragraph{Usar el FT232H (simple canal)}
1067
 
1068
El FT2232D dispone de dos canales independientes. Uno se utiliza para acceder a un puerto JTAG y el otro como una UART. Si bien la prestación de tener acceso a un puerto serial desde USB resulta muy beneficioso, no lo es así en el costo del programador JTAG. El FT232H cuenta con un solo canal que implementa la tecnología MPSSE (\textsl{Multi-Protocol Synchronous Serial Engine}). De esta forma se tendría un diseño más reducido y de menor costo.
1069
 
1070
\paragraph{Conector micro-USB}
1071
 
1072
Se podría utilizar un conector micro-USB en vez del mini-USB.
1073
 
1074
 
1075
\paragraph{Utilizar menos indicadores LED}
1076
 
1077
La actual placa tiene muchos indicadores LED.
1078
 
1079
 
1080
\paragraph{Selección automática del modo de configuración de la FPGA}
1081
 
1082
El modo de configuración de la FPGA actualmente es manual. A través del \textsl{jumper} $K1$ el usuario elije el modo de configuración. Se podría utilizar el canal libre del FT2232D (actualmente UART) para configurar la señales del modo de la FPGA.
1083
 
1084
\newpage{}
1085
\clearpage{}
1086
 
1087
\subsection{S3Power}
1088
\label{sec:s3power}
1089
 
1090
\begin{figure}[h!]
1091
  \centering
1092
  \includegraphics[width=0.3\textwidth]{images/S3Power_layers}
1093
  \caption{Distribución de los componentes en la placa.}
1094
  \label{fig:s3power-pcb-layers}
1095
\end{figure}
1096
 
1097
\begin{figure}[h!]
1098
  \centering
1099
  \subfloat[\footnotesize{Perspectiva 1.}]{\label{fig:s3power-pcb-3d-1}\includegraphics[width=0.4\textwidth]{images/s3power_pcb_3d_1}}
1100
  \hfill
1101
  % ---
1102
  \subfloat[\footnotesize{Perspectiva 2.}]{\label{fig:s3power-pcb-3d-2}\includegraphics[width=0.4\textwidth]{images/s3power_pcb_3d_2}}
1103
  \caption{Modelo en 3D de la placa \emph{S3Power}.}
1104
  \label{fig:s3power-pcb-3d}
1105
\end{figure}
1106
 
1107
\begin{figure}[h!]
1108
  \centering
1109
  \subfloat[\footnotesize{Perspectiva 1.}]{\label{fig:s3power-foto-1}\includegraphics[width=0.4\textwidth]{images/s3power_foto_1}}
1110
  \hfill
1111
  % --
1112
  \subfloat[\footnotesize{Perspectiva 2.}]{\label{fig:s3power-foto-2}\includegraphics[width=0.4\textwidth]{images/s3power_foto_2}}
1113
  \caption{Fotografías de la placa \emph{S3Power}.}
1114
  \label{fig:s3power-foto}
1115
\end{figure}
1116
 
1117
 
1118
\subsubsection{Modificaciones}
1119
\label{sec:s3power-modif}
1120
 
1121
Para esta versión no hay observaciones/modificaciones que se puedan hacer. Quizá se pueda pensar en el futuro embeber la parte de potencia en la misma placa. En esta versión del proyecto PHR se utilizó la placa S3Power desarrollada por el INTI con la intensión de re-utilizar desarrollos libres y dar un marco de cooperativismo sobre los desarrollos locales.
1122
 
1123
\newpage{}
1124
\clearpage{}
1125
 
1126
\subsection{PHRBoard}
1127
\label{sec:phrboard}
1128
 
1129
\begin{figure}[h!]
1130
  \centering
1131
  \includegraphics[width=0.5\textwidth]{images/PHRboard_layers}
1132
  \caption{Distribución de los componentes en la placa.}
1133
  \label{fig:phr-pcb-pcbnew-top}
1134
\end{figure}
1135
 
1136
\begin{figure}[h!]
1137
  \centering
1138
  \subfloat[\footnotesize{Perspectiva 1.}]{\label{fig:phr-pcb-3d-1}\includegraphics[width=0.4\textwidth]{images/PHRboard_3d_1}}
1139
  \hfill
1140
  % --
1141
  \subfloat[\footnotesize{Perspectiva 2.}]{\label{fig:phr-pcb-3d-2}\includegraphics[width=0.4\textwidth]{images/PHRboard_3d_2}}
1142
  \caption{Modelo en 3D de la placa \emph{S3Power}.}
1143 300 guanucolui
  \label{fig:phrboard-3d}
1144 299 guanucolui
\end{figure}
1145
 
1146
 
1147
\begin{figure}[h!]
1148
  \centering
1149
  \subfloat[\footnotesize{Perspectiva 1.}]{\label{fig:phr-pcb-foto-1}\includegraphics[width=0.4\textwidth]{images/DSCN4528}}
1150
  \hfill
1151
  % --
1152
  \subfloat[\footnotesize{Perspectiva 2.}]{\label{fig:phr-pcb-foto-2}\includegraphics[width=0.4\textwidth]{images/DSCN4529}}
1153
  \caption{Fotografías de la placa \emph{S3Power}.}
1154
  \label{fig:phrboard-foto}
1155
\end{figure}
1156
 
1157
\subsubsection{Modificaciones}
1158
\label{sec:phrboard-modif}
1159
 
1160
\paragraph{Recableado de puertos}
1161
 
1162
En la FPGA XC3S200A se dispone de varios pines de entradas y salidas. Muchos de estos puertos no son bidireccionales. En la primera versión de la \emph{PHRBoard} se tuvo errores en la asignación de algunos puertos de solo-entrada a periféricos de salida. Se ha solucionado el inconveniente ``\emph{recableando}'' estos puertos y asignando a otros bidireccionales.
1163
 
1164
\vspace{.5cm}
1165
\begin{tabular}[h]{|l|c|c|}
1166
  \hline
1167
  \textbf{Periférico (etiqueta)} & \textbf{PIN FPGA PCB} & \textbf{PIN FPGA corregido} \\
1168
  \hline
1169
  IOports\_display\_seg\_e & 68 & 28 \\
1170
  \hline
1171
  IOports\_led\_8 & 7 & 27 \\
1172
  \hline
1173
\end{tabular}
1174
\vspace{.5cm}
1175
 
1176
Se podría asignar a todos los puertos \emph{solo-entradas} de la FPGA a los periféricos de entrada.
1177
 
1178
\paragraph{Indicadores}
1179
 
1180
Se debería agregar texto que describa las funcionalidades de cada \textsl{jumpers}, conectores, o secciones de la placa PHR. A continuación se describen algunas que se encontraron:
1181
\begin{itemize}
1182
\item \textsl{Jumper} que configura la FPGA (JTAG - PROM)
1183
\item Nombre de cada pin del conector JTAG
1184
\item Señalar el sentido de \textsl{ON/OFF} de las llaves (revisar esquemático y el texto sobre el componente que dice ON)
1185
\item Números de los pines de los conectores para entradas y salidas de propósito general (especialmente el \emph{PIN 1}).
1186
\item Indicación de las frecuencias seleccionadas con el selector de \textsl{clocks}.
1187
\item Señalar GND y +5V en la entrada de alimentación.
1188
\end{itemize}
1189
 
1190
 
1191
\paragraph{Conector JTAG}
1192
 
1193
Se podría seguir utilizando el conector de \emph{6 PINES} pero cambiando el \textsl{footprint} de 90 grados, por uno común. También se podría pensar para la nueva versión utilizar un conector estándar de Xilinx por ejemplo el \emph{XILINX PARALLEL CABLE III AND IV 9-PIN}.
1194
 
1195
\paragraph{Resistores \textsl{pullups}}
1196
 
1197
En la versión actual se tiene varios resistores \textsl{pullups} que se pusieron provisoriamente. Se debería chequear cuales quedarían definitivamente y cuales no.
1198
 
1199
\paragraph{Conector E/S}
1200
 
1201
Se debe reemplazar los dos conectores de propósitos generales por un solo conector. Preferentemente se utilizará un conector hembra. Se podría tomar como referencia los conectores estándares de Arduino.
1202
 
1203
\paragraph{Memoria de configuración}
1204
 
1205
Se podría reemplazar la memoria XCF02S de Xilinx por otra memoria de menor costo, por ejemplo SPI. Esto también está vinculado con la disponibilidad del \textsl{software}. El programa \emph{xc3sprog}, en la versión utilizada, soporta memorias SPI y otras más.
1206
 
1207
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.