OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V2_1/] [vhdl/] [control.vhd] - Blame information for rev 71

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Controller / Opcode Decoder
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 2/8/01
5
-- FILENAME: control.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9 39 rhoads
-- NOTE:  MIPS(tm) is a registered trademark of MIPS Technologies.
10
--    MIPS Technologies does not endorse and is not associated with
11
--    this project.
12 2 rhoads
-- DESCRIPTION:
13
--    Controls the CPU by decoding the opcode and generating control 
14
--    signals to the rest of the CPU.
15 39 rhoads
--    This entity decodes the MIPS(tm) opcode into a 
16
--    Very-Long-Word-Instruction.  
17 2 rhoads
--    The 32-bit opcode is converted to a 
18
--       6+6+6+16+5+2+3+3+2+2+3+2+4 = 60 bit VLWI opcode.
19
--    Based on information found in:
20
--       "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich
21
--       and "The Designer's Guide to VHDL" by Peter J. Ashenden
22
---------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25 39 rhoads
use work.mlite_pack.all;
26 2 rhoads
 
27
entity control is
28
   port(opcode       : in  std_logic_vector(31 downto 0);
29
        intr_signal  : in  std_logic;
30
        rs_index     : out std_logic_vector(5 downto 0);
31
        rt_index     : out std_logic_vector(5 downto 0);
32
        rd_index     : out std_logic_vector(5 downto 0);
33
        imm_out      : out std_logic_vector(15 downto 0);
34
        alu_func     : out alu_function_type;
35
        shift_func   : out shift_function_type;
36
        mult_func    : out mult_function_type;
37
        branch_func  : out branch_function_type;
38
        a_source_out : out a_source_type;
39
        b_source_out : out b_source_type;
40
        c_source_out : out c_source_type;
41
        pc_source_out: out pc_source_type;
42
        mem_source_out:out mem_source_type);
43
end; --entity control
44
 
45
architecture logic of control is
46
--   type alu_function_type is (alu_nothing, alu_add, alu_subtract, 
47
--      alu_less_than, alu_less_than_signed, alu_equal, alu_not_equal,
48
--      alu_ltz, alu_lez, alu_eqz, alu_nez, alu_gez, alu_gtz,
49
--      alu_or, alu_and, alu_xor, alu_nor);
50
--   type shift_function_type is (
51
--      shift_nothing, shift_left_unsigned,  
52
--      shift_right_signed, shift_right_unsigned);
53
--   type mult_function_type is (
54
--      mult_nothing, mult_read_lo, mult_read_hi, mult_write_lo, 
55
--      mult_write_hi, mult_mult, mult_divide, mult_signed_divide);
56
--   type a_source_type is (from_reg_source, from_imm10_6);
57
--   type b_source_type is (from_reg_target, from_imm, from_signed_imm);
58
--   type c_source_type is (from_null, from_alu, from_shift, 
59
--      from_mult, from_memory, from_pc, from_imm_shift16,
60
--      from_reg_source_nez, from_reg_source_eqz);
61
--   type pc_source_type is (from_inc4, from_inc8, from_reg_source, 
62
--      from_opcode25_0, from_branch, from_lbranch);
63
begin
64
 
65 71 rhoads
control_proc: process(opcode, intr_signal)
66 2 rhoads
   variable op, func       : std_logic_vector(5 downto 0);
67
   variable rs, rt, rd     : std_logic_vector(5 downto 0);
68 46 rhoads
   variable rtx            : std_logic_vector(4 downto 0);
69 2 rhoads
   variable imm            : std_logic_vector(15 downto 0);
70
   variable alu_function   : alu_function_type;
71
   variable shift_function : shift_function_type;
72
   variable mult_function  : mult_function_type;
73
   variable a_source       : a_source_type;
74
   variable b_source       : b_source_type;
75
   variable c_source       : c_source_type;
76
   variable pc_source      : pc_source_type;
77
   variable branch_function: branch_function_type;
78
   variable mem_source     : mem_source_type;
79
begin
80
   alu_function := alu_nothing;
81
   shift_function := shift_nothing;
82
   mult_function := mult_nothing;
83
   a_source := a_from_reg_source;
84
   b_source := b_from_reg_target;
85
   c_source := c_from_null;
86
   pc_source := from_inc4;
87
   branch_function := branch_eq;
88 61 rhoads
   mem_source := mem_fetch;
89 2 rhoads
   op := opcode(31 downto 26);
90
   rs := '0' & opcode(25 downto 21);
91
   rt := '0' & opcode(20 downto 16);
92
   rtx := opcode(20 downto 16);
93
   rd := '0' & opcode(15 downto 11);
94
   func := opcode(5 downto 0);
95
   imm := opcode(15 downto 0);
96
 
97
   case op is
98 46 rhoads
   when "000000" =>   --SPECIAL
99 2 rhoads
      case func is
100 46 rhoads
      when "000000" =>   --SLL   r[rd]=r[rt]<<re;
101 2 rhoads
         a_source := a_from_imm10_6;
102
         c_source := c_from_shift;
103
         shift_function := shift_left_unsigned;
104 46 rhoads
      when "000010" =>   --SRL   r[rd]=u[rt]>>re;
105 2 rhoads
         a_source := a_from_imm10_6;
106
         c_source := c_from_shift;
107
         shift_function := shift_right_unsigned;
108 46 rhoads
      when "000011" =>   --SRA   r[rd]=r[rt]>>re;
109 2 rhoads
         a_source := a_from_imm10_6;
110
         c_source := c_from_shift;
111
         shift_function := shift_right_signed;
112 46 rhoads
      when "000100" =>   --SLLV  r[rd]=r[rt]<<r[rs];
113 2 rhoads
         c_source := c_from_shift;
114
         shift_function := shift_left_unsigned;
115 46 rhoads
      when "000110" =>   --SRLV  r[rd]=u[rt]>>r[rs];
116 2 rhoads
         c_source := c_from_shift;
117
         shift_function := shift_right_unsigned;
118 46 rhoads
      when "000111" =>   --SRAV  r[rd]=r[rt]>>r[rs];
119 2 rhoads
         c_source := c_from_shift;
120
         shift_function := shift_right_signed;
121 46 rhoads
      when "001000" =>   --JR    s->pc_next=r[rs];
122 2 rhoads
         pc_source := from_branch;
123
         alu_function := alu_add;
124
         branch_function := branch_yes;
125 46 rhoads
      when "001001" =>   --JALR  r[rd]=s->pc_next; s->pc_next=r[rs];
126 6 rhoads
         c_source := c_from_pc_plus4;
127 2 rhoads
         pc_source := from_branch;
128
         alu_function := alu_add;
129
         branch_function := branch_yes;
130 46 rhoads
      when "001010" =>   --MOVZ  if(!r[rt]) r[rd]=r[rs]; /*IV*/
131 2 rhoads
--         c_source := c_from_reg_source_eqz;
132 46 rhoads
      when "001011" =>   --MOVN  if(r[rt]) r[rd]=r[rs];  /*IV*/
133 2 rhoads
--         c_source := from_reg_source_nez;
134 46 rhoads
      when "001100" =>   --SYSCALL
135 2 rhoads
--         if(r[4]==0) printf("0x%8.8lx ",r[5]);
136 46 rhoads
      when "001101" =>   --BREAK s->wakeup=1;
137
      when "001111" =>   --SYNC  s->wakeup=1;
138
      when "010000" =>   --MFHI  r[rd]=s->hi;
139 2 rhoads
         c_source := c_from_mult;
140
         mult_function := mult_read_hi;
141 46 rhoads
      when "010001" =>   --FTHI  s->hi=r[rs];
142 2 rhoads
         mult_function := mult_write_hi;
143 46 rhoads
      when "010010" =>   --MFLO  r[rd]=s->lo;
144 2 rhoads
         c_source := c_from_mult;
145
         mult_function := mult_read_lo;
146 46 rhoads
      when "010011" =>   --MTLO  s->lo=r[rs];
147 2 rhoads
         mult_function := mult_write_lo;
148 46 rhoads
      when "011000" =>   --MULT  s->lo=r[rs]*r[rt]; s->hi=0;
149 44 rhoads
         mult_function := mult_signed_mult;
150 46 rhoads
      when "011001" =>   --MULTU s->lo=r[rs]*r[rt]; s->hi=0;
151 2 rhoads
         mult_function := mult_mult;
152 46 rhoads
      when "011010" =>   --DIV   s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
153 2 rhoads
         mult_function := mult_signed_divide;
154 46 rhoads
      when "011011" =>   --DIVU  s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
155 2 rhoads
         mult_function := mult_divide;
156 46 rhoads
      when "100000" =>   --ADD   r[rd]=r[rs]+r[rt];
157 2 rhoads
         c_source := c_from_alu;
158
         alu_function := alu_add;
159 46 rhoads
      when "100001" =>   --ADDU  r[rd]=r[rs]+r[rt];
160 2 rhoads
         c_source := c_from_alu;
161
         alu_function := alu_add;
162 46 rhoads
      when "100010" =>   --SUB   r[rd]=r[rs]-r[rt];
163 2 rhoads
         c_source := c_from_alu;
164
         alu_function := alu_subtract;
165 46 rhoads
      when "100011" =>   --SUBU  r[rd]=r[rs]-r[rt];
166 2 rhoads
         c_source := c_from_alu;
167
         alu_function := alu_subtract;
168 46 rhoads
      when "100100" =>   --AND   r[rd]=r[rs]&r[rt];
169 2 rhoads
         c_source := c_from_alu;
170
         alu_function := alu_and;
171 46 rhoads
      when "100101" =>   --OR    r[rd]=r[rs]|r[rt];
172 2 rhoads
         c_source := c_from_alu;
173
         alu_function := alu_or;
174 46 rhoads
      when "100110" =>   --XOR   r[rd]=r[rs]^r[rt];
175 2 rhoads
         c_source := c_from_alu;
176
         alu_function := alu_xor;
177 46 rhoads
      when "100111" =>   --NOR   r[rd]=~(r[rs]|r[rt]);
178 2 rhoads
         c_source := c_from_alu;
179
         alu_function := alu_nor;
180 46 rhoads
      when "101010" =>   --SLT   r[rd]=r[rs]<r[rt];
181 2 rhoads
         c_source := c_from_alu;
182
         alu_function := alu_less_than_signed;
183 46 rhoads
      when "101011" =>   --SLTU  r[rd]=u[rs]<u[rt];
184 2 rhoads
         c_source := c_from_alu;
185
         alu_function := alu_less_than;
186 46 rhoads
      when "101101" =>   --DADDU r[rd]=r[rs]+u[rt];
187 2 rhoads
         c_source := c_from_alu;
188
         alu_function := alu_add;
189 46 rhoads
      when "110001" =>   --TGEU
190
      when "110010" =>   --TLT
191
      when "110011" =>   --TLTU
192
      when "110100" =>   --TEQ 
193
      when "110110" =>   --TNE 
194 2 rhoads
      when others =>
195
      end case;
196 46 rhoads
   when "000001" =>   --REGIMM
197 2 rhoads
      rt := "000000";
198
      rd := "011111";
199
      a_source := a_from_pc;
200
      b_source := b_from_immX4;
201
      alu_function := alu_add;
202
      pc_source := from_branch;
203
      branch_function := branch_gtz;
204
      --if(test) pc=pc+imm*4
205
      case rtx is
206 46 rhoads
      when "10000" =>   --BLTZAL  r[31]=s->pc_next; branch=r[rs]<0;
207 6 rhoads
         c_source := c_from_pc_plus4;
208 2 rhoads
         branch_function := branch_ltz;
209 46 rhoads
      when "00000" =>   --BLTZ    branch=r[rs]<0;
210 2 rhoads
         branch_function := branch_ltz;
211 46 rhoads
      when "10001" =>   --BGEZAL  r[31]=s->pc_next; branch=r[rs]>=0;
212 6 rhoads
         c_source := c_from_pc_plus4;
213 2 rhoads
         branch_function := branch_gez;
214 46 rhoads
      when "00001" =>   --BGEZ    branch=r[rs]>=0;
215 2 rhoads
         branch_function := branch_gez;
216 46 rhoads
      when "10010" =>   --BLTZALL r[31]=s->pc_next; lbranch=r[rs]<0;
217 6 rhoads
         c_source := c_from_pc_plus4;
218 2 rhoads
         pc_source := from_lbranch;
219
         branch_function := branch_ltz;
220 46 rhoads
      when "00010" =>   --BLTZL   lbranch=r[rs]<0;
221 2 rhoads
         pc_source := from_lbranch;
222
         branch_function := branch_ltz;
223 46 rhoads
      when "10011" =>   --BGEZALL r[31]=s->pc_next; lbranch=r[rs]>=0;
224 6 rhoads
         c_source := c_from_pc_plus4;
225 2 rhoads
         pc_source := from_lbranch;
226
         branch_function := branch_gez;
227 46 rhoads
      when "00011" =>   --BGEZL   lbranch=r[rs]>=0;
228 2 rhoads
         pc_source := from_lbranch;
229
         branch_function := branch_gez;
230
          when others =>
231
          end case;
232 46 rhoads
   when "000011" =>   --JAL    r[31]=s->pc_next; s->pc_next=(s->pc&0xf0000000)|target;
233 6 rhoads
      c_source := c_from_pc_plus4;
234 2 rhoads
      rd := "011111";
235
      pc_source := from_opcode25_0;
236 46 rhoads
   when "000010" =>   --J      s->pc_next=(s->pc&0xf0000000)|target; 
237 2 rhoads
      pc_source := from_opcode25_0;
238 46 rhoads
   when "000100" =>   --BEQ    branch=r[rs]==r[rt];
239 2 rhoads
      a_source := a_from_pc;
240
      b_source := b_from_immX4;
241
      alu_function := alu_add;
242
      pc_source := from_branch;
243
      branch_function := branch_eq;
244 46 rhoads
   when "000101" =>   --BNE    branch=r[rs]!=r[rt];
245 2 rhoads
      a_source := a_from_pc;
246
      b_source := b_from_immX4;
247
      alu_function := alu_add;
248
      pc_source := from_branch;
249
      branch_function := branch_ne;
250 46 rhoads
   when "000110" =>   --BLEZ   branch=r[rs]<=0;
251 2 rhoads
      a_source := a_from_pc;
252
      b_source := b_from_immX4;
253
      alu_function := alu_add;
254
      pc_source := from_branch;
255 17 rhoads
      branch_function := branch_lez;
256 46 rhoads
   when "000111" =>   --BGTZ   branch=r[rs]>0;
257 2 rhoads
      a_source := a_from_pc;
258
      b_source := b_from_immX4;
259
      alu_function := alu_add;
260
      pc_source := from_branch;
261
      branch_function := branch_gtz;
262 46 rhoads
   when "001000" =>   --ADDI   r[rt]=r[rs]+(short)imm;
263 2 rhoads
      b_source := b_from_signed_imm;
264
      c_source := c_from_alu;
265
      rd := rt;
266
      alu_function := alu_add;
267 46 rhoads
   when "001001" =>   --ADDIU  u[rt]=u[rs]+(short)imm;
268 2 rhoads
      b_source := b_from_signed_imm;
269
      c_source := c_from_alu;
270
      rd := rt;
271
      alu_function := alu_add;
272 46 rhoads
   when "001010" =>   --SLTI   r[rt]=r[rs]<(short)imm;
273 2 rhoads
      b_source := b_from_signed_imm;
274
      c_source := c_from_alu;
275
      rd := rt;
276
      alu_function := alu_less_than;
277 46 rhoads
   when "001011" =>   --SLTIU  u[rt]=u[rs]<(unsigned long)(short)imm;
278 2 rhoads
      b_source := b_from_imm;
279
      c_source := c_from_alu;
280
      rd := rt;
281
      alu_function := alu_less_than;
282 46 rhoads
   when "001100" =>   --ANDI   r[rt]=r[rs]&imm;
283 2 rhoads
      b_source := b_from_imm;
284
      c_source := c_from_alu;
285
      rd := rt;
286
      alu_function := alu_and;
287 46 rhoads
   when "001101" =>   --ORI    r[rt]=r[rs]|imm;
288 2 rhoads
      b_source := b_from_imm;
289
      c_source := c_from_alu;
290
      rd := rt;
291
      alu_function := alu_or;
292 46 rhoads
   when "001110" =>   --XORI   r[rt]=r[rs]^imm;
293 2 rhoads
      b_source := b_from_imm;
294
      c_source := c_from_alu;
295
      rd := rt;
296
      alu_function := alu_xor;
297 46 rhoads
   when "001111" =>   --LUI    r[rt]=(imm<<16);
298 2 rhoads
      c_source := c_from_imm_shift16;
299
      rd := rt;
300 46 rhoads
   when "010000" =>   --COP0
301 2 rhoads
      alu_function := alu_or;
302
      c_source := c_from_alu;
303
      if opcode(23) = '0' then  --move from CP0
304
         rs := '1' & opcode(15 downto 11);
305
         rt := "000000";
306
         rd := '0' & opcode(20 downto 16);
307
      else                      --move to CP0
308
         rs := "000000";
309
         rd(5) := '1';
310
      end if;
311 46 rhoads
   when "010001" =>   --COP1
312
   when "010010" =>   --COP2
313
   when "010011" =>   --COP3
314
   when "010100" =>   --BEQL   lbranch=r[rs]==r[rt];
315 2 rhoads
      a_source := a_from_pc;
316
      b_source := b_from_immX4;
317
      alu_function := alu_add;
318
      pc_source := from_lbranch;
319
      branch_function := branch_eq;
320 46 rhoads
   when "010101" =>   --BNEL   lbranch=r[rs]!=r[rt];
321 2 rhoads
      a_source := a_from_pc;
322
      b_source := b_from_immX4;
323
      alu_function := alu_add;
324
      pc_source := from_lbranch;
325
      branch_function := branch_ne;
326 46 rhoads
   when "010110" =>   --BLEZL  lbranch=r[rs]<=0;
327 2 rhoads
      a_source := a_from_pc;
328
      b_source := b_from_immX4;
329
      alu_function := alu_add;
330
      pc_source := from_lbranch;
331
      branch_function := branch_lez;
332 46 rhoads
   when "010111" =>   --BGTZL  lbranch=r[rs]>0;
333 2 rhoads
      a_source := a_from_pc;
334
      b_source := b_from_immX4;
335
      alu_function := alu_add;
336
      pc_source := from_lbranch;
337
      branch_function := branch_gtz;
338 46 rhoads
   when "100000" =>   --LB     r[rt]=*(signed char*)ptr;
339 17 rhoads
      a_source := a_from_reg_source;
340
      b_source := b_from_imm;
341
      alu_function := alu_add;
342
      rd := rt;
343 2 rhoads
      c_source := c_from_memory;
344 17 rhoads
      mem_source := mem_read8s;    --address=(short)imm+r[rs];
345 46 rhoads
   when "100001" =>   --LH     r[rt]=*(signed short*)ptr;
346 17 rhoads
      a_source := a_from_reg_source;
347
      b_source := b_from_imm;
348
      alu_function := alu_add;
349
      rd := rt;
350 2 rhoads
      c_source := c_from_memory;
351 17 rhoads
      mem_source := mem_read16s;   --address=(short)imm+r[rs];
352 46 rhoads
   when "100010" =>   --LWL    //Not Implemented
353 17 rhoads
      a_source := a_from_reg_source;
354
      b_source := b_from_imm;
355
      alu_function := alu_add;
356
      rd := rt;
357
      c_source := c_from_memory;
358
      mem_source := mem_read32;
359 46 rhoads
   when "100011" =>   --LW     r[rt]=*(long*)ptr;
360 17 rhoads
      a_source := a_from_reg_source;
361
      b_source := b_from_imm;
362
      alu_function := alu_add;
363
      rd := rt;
364 2 rhoads
      c_source := c_from_memory;
365 17 rhoads
      mem_source := mem_read32;
366 46 rhoads
   when "100100" =>   --LBU    r[rt]=*(unsigned char*)ptr;
367 17 rhoads
      a_source := a_from_reg_source;
368
      b_source := b_from_imm;
369
      alu_function := alu_add;
370
      rd := rt;
371 2 rhoads
      c_source := c_from_memory;
372 17 rhoads
      mem_source := mem_read8;    --address=(short)imm+r[rs];
373 46 rhoads
   when "100101" =>   --LHU    r[rt]=*(unsigned short*)ptr;
374 17 rhoads
      a_source := a_from_reg_source;
375
      b_source := b_from_imm;
376
      alu_function := alu_add;
377
      rd := rt;
378 2 rhoads
      c_source := c_from_memory;
379 17 rhoads
      mem_source := mem_read16;    --address=(short)imm+r[rs];
380 46 rhoads
   when "100110" =>   --LWR    //Not Implemented
381
   when "101000" =>   --SB     *(char*)ptr=(char)r[rt];
382 17 rhoads
      a_source := a_from_reg_source;
383
      b_source := b_from_imm;
384
      alu_function := alu_add;
385
      mem_source := mem_write8;   --address=(short)imm+r[rs];
386 46 rhoads
   when "101001" =>   --SH     *(short*)ptr=(short)r[rt];
387 17 rhoads
      a_source := a_from_reg_source;
388
      b_source := b_from_imm;
389
      alu_function := alu_add;
390
      mem_source := mem_write16;
391 46 rhoads
   when "101010" =>   --SWL    //Not Implemented
392 17 rhoads
      a_source := a_from_reg_source;
393
      b_source := b_from_imm;
394
      alu_function := alu_add;
395
      mem_source := mem_write32;  --address=(short)imm+r[rs];
396 46 rhoads
   when "101011" =>   --SW     *(long*)ptr=r[rt];
397 17 rhoads
      a_source := a_from_reg_source;
398
      b_source := b_from_imm;
399
      alu_function := alu_add;
400
      mem_source := mem_write32;  --address=(short)imm+r[rs];
401 46 rhoads
   when "101110" =>   --SWR    //Not Implemented
402
   when "101111" =>   --CACHE
403
   when "110000" =>   --LL     r[rt]=*(long*)ptr;
404
   when "110001" =>   --LWC1 
405
   when "110010" =>   --LWC2 
406
   when "110011" =>   --LWC3 
407
   when "110101" =>   --LDC1 
408
   when "110110" =>   --LDC2 
409
   when "110111" =>   --LDC3 
410
   when "111000" =>   --SC     *(long*)ptr=r[rt]; r[rt]=1;
411
   when "111001" =>   --SWC1 
412
   when "111010" =>   --SWC2 
413
   when "111011" =>   --SWC3 
414
   when "111101" =>   --SDC1 
415
   when "111110" =>   --SDC2 
416
   when "111111" =>   --SDC3 
417 2 rhoads
   when others =>
418
   end case;
419
 
420 71 rhoads
   if c_source = c_from_null then
421 2 rhoads
      rd := "000000";
422
   end if;
423
 
424
   if intr_signal = '1' then
425
      rs := "111111";  --interrupt vector
426
      rt := "000000";
427 6 rhoads
      rd := "101110";  --save PC in EPC
428
      alu_function := alu_or;
429
      shift_function := shift_nothing;
430
      mult_function := mult_nothing;
431
      branch_function := branch_yes;
432 2 rhoads
      a_source := a_from_reg_source;
433
      b_source := b_from_reg_target;
434 6 rhoads
      c_source := c_from_pc;
435
      pc_source := from_lbranch;
436 61 rhoads
      mem_source := mem_fetch;
437 2 rhoads
   end if;
438
 
439
   rs_index <= rs;
440
   rt_index <= rt;
441
   rd_index <= rd;
442
   imm_out <= imm;
443
   alu_func <= alu_function;
444
   shift_func <= shift_function;
445
   mult_func <= mult_function;
446
   branch_func <= branch_function;
447
   a_source_out <= a_source;
448
   b_source_out <= b_source;
449
   c_source_out <= c_source;
450
   pc_source_out <= pc_source;
451
   mem_source_out <= mem_source;
452
 
453
end process;
454
 
455
end; --logic
456
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.