OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V2_1/] [vhdl/] [reg_bank.vhd] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Register Bank
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 2/2/01
5
-- FILENAME: reg_bank.vhd
6
-- PROJECT: MIPS CPU core
7
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Implements a register bank with 32 registers that are 32-bits wide.
11
--    There are two read-ports and one write port.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15 12 rhoads
use ieee.std_logic_unsigned.all;
16 2 rhoads
use work.mips_pack.all;
17
 
18
entity reg_bank is
19
   port(clk            : in  std_logic;
20
        rs_index       : in  std_logic_vector(5 downto 0);
21
        rt_index       : in  std_logic_vector(5 downto 0);
22
        rd_index       : in  std_logic_vector(5 downto 0);
23
        reg_source_out : out std_logic_vector(31 downto 0);
24
        reg_target_out : out std_logic_vector(31 downto 0);
25
        reg_dest_new   : in  std_logic_vector(31 downto 0);
26
        intr_enable    : out std_logic);
27
end; --entity reg_bank
28
 
29 9 rhoads
 
30 8 rhoads
--------------------------------------------------------------------
31 9 rhoads
-- The ram_block architecture attempts to use TWO dual-port memories.
32 12 rhoads
-- Different FPGAs and ASICs need different implementations.
33
-- Choose one of the RAM implementations below.
34 9 rhoads
-- I need feedback on this section!
35 8 rhoads
--------------------------------------------------------------------
36
architecture ram_block of reg_bank is
37 9 rhoads
   signal reg_status : std_logic;
38 8 rhoads
   type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0);
39
 
40 9 rhoads
   --controls access to dual-port memories
41
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
42
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
43
   signal write_enable             : std_logic;
44 8 rhoads
begin
45
 
46
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
47 9 rhoads
      reg_status, data_out1, data_out2)
48 8 rhoads
begin
49 9 rhoads
   --setup for first dual-port memory
50
   if rs_index = "101110" then  --reg_epc CP0 14
51
      addr_a1 <= "00000";
52
   else
53
      addr_a1 <= rs_index(4 downto 0);
54
   end if;
55 8 rhoads
   case rs_index is
56
   when "000000" => reg_source_out <= ZERO;
57
   when "101100" => reg_source_out <= ZERO(31 downto 1) & reg_status;
58
   when "111111" => reg_source_out <= ZERO(31 downto 8) & "00110000"; --intr vector
59 9 rhoads
   when others   => reg_source_out <= data_out1;
60 8 rhoads
   end case;
61
 
62 9 rhoads
   --setup for second dual-port memory
63
   addr_a2 <= rt_index(4 downto 0);
64 8 rhoads
   case rt_index is
65
   when "000000" => reg_target_out <= ZERO;
66 9 rhoads
   when others   => reg_target_out <= data_out2;
67 8 rhoads
   end case;
68
 
69 9 rhoads
   --setup second port (write port) for both dual-port memories
70
   if rd_index /= "000000" and rd_index /= "101100" then
71
      write_enable <= '1';
72
   else
73
      write_enable <= '0';
74
   end if;
75
   if rd_index = "101110" then  --reg_epc CP0 14
76
      addr_b <= "00000";
77
   else
78
      addr_b <= rd_index(4 downto 0);
79
   end if;
80
 
81 8 rhoads
   if rising_edge(clk) then
82 9 rhoads
      if rd_index = "101100" then
83
         reg_status <= reg_dest_new(0);
84
      elsif rd_index = "101110" then  --reg_epc CP0 14
85
         reg_status <= '0';           --disable interrupts
86
      end if;
87 8 rhoads
   end if;
88
 
89
   intr_enable <= reg_status;
90 9 rhoads
end process;
91 8 rhoads
 
92 9 rhoads
 
93 12 rhoads
------------------------------------------------------------
94
-- Pick only ONE of the dual-port RAM implementations below!
95
------------------------------------------------------------
96
 
97
 
98
   -- Option #1
99
   -- One tri-port RAM, two read-ports, one write-port
100
   -- 32 registers 32-bits wide
101
   ram_proc: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
102
         write_enable)
103
   variable tri_port_ram : ram_type;
104
   begin
105
      data_out1 <= tri_port_ram(conv_integer(addr_a1));
106
      data_out2 <= tri_port_ram(conv_integer(addr_a2));
107
      if rising_edge(clk) then
108
         if write_enable = '1' then
109
            tri_port_ram(conv_integer(addr_b)) := reg_dest_new;
110
         end if;
111 9 rhoads
      end if;
112 12 rhoads
   end process;
113 9 rhoads
 
114
 
115 12 rhoads
   -- Option #2
116
   -- Two dual-port RAMs, each with one read-port and one write-port
117
   -- According to the Xilinx answers database record #4075 this 
118
   -- architecture may cause Synplify to infer synchronous dual-port 
119
   -- RAM using RAM16x1D.  
120
--   ram_proc: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new, 
121
--         write_enable)
122
--   variable dual_port_ram1 : ram_type;
123
--   variable dual_port_ram2 : ram_type;
124
--   begin
125
--      data_out1 <= dual_port_ram1(conv_integer(addr_a1));
126
--      data_out2 <= dual_port_ram2(conv_integer(addr_a2));
127
--      if rising_edge(clk) then
128
--         if write_enable = '1' then
129
--            dual_port_ram1(conv_integer(addr_b)) := reg_dest_new;
130
--            dual_port_ram2(conv_integer(addr_b)) := reg_dest_new;
131
--         end if;
132 9 rhoads
--      end if;
133 12 rhoads
--   end process;
134 9 rhoads
 
135
 
136 12 rhoads
   -- Option #3
137 9 rhoads
   -- Generic Two-Port Synchronous RAM
138
   -- generic_tpram can be obtained from:
139
   -- http://www.opencores.org/cvsweb.shtml/generic_memories/
140
   -- Supports ASICs (Artisan, Avant, and Virage) and Xilinx FPGA
141
--   bank1 : generic_tpram port map (
142
--      clk_a  => clk,
143
--      rst_a  => '0',
144
--      ce_a   => '1',
145
--      we_a   => '0',
146
--      oe_a   => '1',
147
--      addr_a => addr_a1,
148
--      di_a   => ZERO,
149
--      do_a   => data_out1,
150
--
151
--      clk_b  => clk,
152
--      rst_b  => '0',
153
--      ce_b   => '1',
154
--      we_b   => write_enable,
155
--      oe_b   => '0',
156
--      addr_b => addr_b,
157
--      di_a   => reg_dest_new);
158
--
159
--   bank2 : generic_tpram port map (
160
--      clk_a  => clk,
161
--      rst_a  => '0',
162
--      ce_a   => '1',
163
--      we_a   => '0',
164
--      oe_a   => '1',
165
--      addr_a => addr_a2,
166
--      di_a   => ZERO,
167
--      do_a   => data_out2,
168
--
169
--      clk_b  => clk,
170
--      rst_b  => '0',
171
--      ce_b   => '1',
172
--      we_b   => write_enable,
173
--      oe_b   => '0',
174
--      addr_b => addr_b,
175
--      di_a   => reg_dest_new);
176
 
177
 
178 12 rhoads
   -- Option #4
179 9 rhoads
   -- Xilinx mode using four 16x16 banks
180
--   bank1_high: ramb4_s16_s16 port map (
181
--      clka  => clk,
182
--      rsta  => sig_false,
183
--      addra => addr_a1,
184
--      dia   => ZERO(31 downto 16),
185
--      ena   => sig_true,
186
--      wea   => sig_false,
187
--      doa   => data_out1(31 downto 16),
188
--
189
--      clkb  => clk,
190
--      rstb  => sig_false,
191
--      addrb => addr_b,
192
--      dib   => reg_dest_new(31 downto 16),
193
--      enb   => sig_true,
194
--      web   => write_enable);
195
--
196
--   bank1_low: ramb4_s16_s16 port map (
197
--      clka  => clk,
198
--      rsta  => sig_false,
199
--      addra => addr_a1,
200
--      dia   => ZERO(15 downto 0),
201
--      ena   => sig_true,
202
--      wea   => sig_false,
203
--      doa   => data_out1(15 downto 0),
204
--
205
--      clkb  => clk,
206
--      rstb  => sig_false,
207
--      addrb => addr_b,
208
--      dib   => reg_dest_new(15 downto 0),
209
--      enb   => sig_true,
210
--      web   => write_enable);
211
--
212
--   bank2_high: ramb4_s16_s16 port map (
213
--      clka  => clk,
214
--      rsta  => sig_false,
215
--      addra => addr_a2,
216
--      dia   => ZERO(31 downto 16),
217
--      ena   => sig_true,
218
--      wea   => sig_false,
219
--      doa   => data_out2(31 downto 16),
220
--
221
--      clkb  => clk,
222
--      rstb  => sig_false,
223
--      addrb => addr_b,
224
--      dib   => reg_dest_new(31 downto 16),
225
--      enb   => sig_true,
226
--      web   => write_enable);
227
--
228
--   bank2_low: ramb4_s16_s16 port map (
229
--      clka  => clk,
230
--      rsta  => sig_false,
231
--      addra => addr_a2,
232
--      dia   => ZERO(15 downto 0),
233
--      ena   => sig_true,
234
--      wea   => sig_false,
235
--      doa   => data_out2(15 downto 0),
236
--
237
--      clkb  => clk,
238
--      rstb  => sig_false,
239
--      addrb => addr_b,
240
--      dib   => reg_dest_new(15 downto 0),
241
--      enb   => sig_true,
242
--      web   => write_enable);
243
 
244 8 rhoads
 
245 12 rhoads
   -- Option #5
246
   -- Altera LPM_RAM_DP
247
--   bank1: LPM_RAM_DP 
248
--      generic map (
249
--      LPM_WIDTH    => 32,
250
--      LPM_WIDTHAD  => 5,
251
--      LPM_NUMWORDS => 32,
252
--??      LPM_INDATA   => "UNREGISTERED",
253
--??      LPM_OUTDATA  => "UNREGISTERED",
254
--??      LPM_RDADDRESS_CONTROL => "UNREGISTERED",
255
--??      LPM_WRADDRESS_CONTROL => "UNREGISTERED"
256
--   )
257
--   port map (RDCLOCK => clk,
258
--      RDADDRESS => addr_a1,
259
--      DATA      => reg_dest_new,
260
--      WRADDRESS => addr_b,
261
--      WREN      => write_enable,
262
--      WRCLOCK   => clk,
263
--      Q         => data_out1);
264
--
265
--   bank2: LPM_RAM_DP 
266
--      generic map (
267
--      LPM_WIDTH    => 32,
268
--      LPM_WIDTHAD  => 5,
269
--      LPM_NUMWORDS => 32,
270
--??      LPM_INDATA   => "UNREGISTERED",
271
--??      LPM_OUTDATA  => "UNREGISTERED",
272
--??      LPM_RDADDRESS_CONTROL => "UNREGISTERED",
273
--??      LPM_WRADDRESS_CONTROL => "UNREGISTERED"
274
--   )
275
--   port map (RDCLOCK => clk,
276
--      RDADDRESS => addr_a2,
277
--      DATA      => reg_dest_new,
278
--      WRADDRESS => addr_b,
279
--      WREN      => write_enable,
280
--      WRCLOCK   => clk,
281
--      Q         => data_out2);
282 8 rhoads
 
283
 
284 12 rhoads
end; --architecture ram_block
285 2 rhoads
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.