OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V2_1/] [vhdl/] [reg_bank.vhd] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Register Bank
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 2/2/01
5
-- FILENAME: reg_bank.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Implements a register bank with 32 registers that are 32-bits wide.
11
--    There are two read-ports and one write port.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15 12 rhoads
use ieee.std_logic_unsigned.all;
16 39 rhoads
use work.mlite_pack.all;
17 2 rhoads
 
18
entity reg_bank is
19 47 rhoads
   generic(memory_type : string := "GENERIC");
20 2 rhoads
   port(clk            : in  std_logic;
21 24 rhoads
        reset_in       : in  std_logic;
22 2 rhoads
        rs_index       : in  std_logic_vector(5 downto 0);
23
        rt_index       : in  std_logic_vector(5 downto 0);
24
        rd_index       : in  std_logic_vector(5 downto 0);
25
        reg_source_out : out std_logic_vector(31 downto 0);
26
        reg_target_out : out std_logic_vector(31 downto 0);
27
        reg_dest_new   : in  std_logic_vector(31 downto 0);
28
        intr_enable    : out std_logic);
29
end; --entity reg_bank
30
 
31 9 rhoads
 
32 8 rhoads
--------------------------------------------------------------------
33 9 rhoads
-- The ram_block architecture attempts to use TWO dual-port memories.
34 12 rhoads
-- Different FPGAs and ASICs need different implementations.
35
-- Choose one of the RAM implementations below.
36 9 rhoads
-- I need feedback on this section!
37 8 rhoads
--------------------------------------------------------------------
38
architecture ram_block of reg_bank is
39 9 rhoads
   signal reg_status : std_logic;
40 8 rhoads
   type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0);
41
 
42 9 rhoads
   --controls access to dual-port memories
43
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
44
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
45
   signal write_enable             : std_logic;
46 48 rhoads
--   signal sig_false                : std_logic := '0';
47
--   signal sig_true                 : std_logic := '1';
48
--   signal zero_sig                 : std_logic_vector(15 downto 0) := ZERP(15 downto 0);
49 8 rhoads
begin
50
 
51
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
52 47 rhoads
      reg_status, data_out1, data_out2, reset_in)
53 8 rhoads
begin
54 9 rhoads
   --setup for first dual-port memory
55
   if rs_index = "101110" then  --reg_epc CP0 14
56
      addr_a1 <= "00000";
57
   else
58
      addr_a1 <= rs_index(4 downto 0);
59
   end if;
60 8 rhoads
   case rs_index is
61
   when "000000" => reg_source_out <= ZERO;
62
   when "101100" => reg_source_out <= ZERO(31 downto 1) & reg_status;
63
   when "111111" => reg_source_out <= ZERO(31 downto 8) & "00110000"; --intr vector
64 9 rhoads
   when others   => reg_source_out <= data_out1;
65 8 rhoads
   end case;
66
 
67 9 rhoads
   --setup for second dual-port memory
68
   addr_a2 <= rt_index(4 downto 0);
69 8 rhoads
   case rt_index is
70
   when "000000" => reg_target_out <= ZERO;
71 9 rhoads
   when others   => reg_target_out <= data_out2;
72 8 rhoads
   end case;
73
 
74 9 rhoads
   --setup second port (write port) for both dual-port memories
75
   if rd_index /= "000000" and rd_index /= "101100" then
76
      write_enable <= '1';
77
   else
78
      write_enable <= '0';
79
   end if;
80
   if rd_index = "101110" then  --reg_epc CP0 14
81
      addr_b <= "00000";
82
   else
83
      addr_b <= rd_index(4 downto 0);
84
   end if;
85
 
86 8 rhoads
   if rising_edge(clk) then
87 24 rhoads
      if reset_in = '1' or rd_index = "101110" then  --reg_epc CP0 14
88
         reg_status <= '0';           --disable interrupts
89
      elsif rd_index = "101100" then
90 9 rhoads
         reg_status <= reg_dest_new(0);
91
      end if;
92 8 rhoads
   end if;
93
 
94
   intr_enable <= reg_status;
95 9 rhoads
end process;
96 8 rhoads
 
97 9 rhoads
 
98 12 rhoads
------------------------------------------------------------
99
-- Pick only ONE of the dual-port RAM implementations below!
100
------------------------------------------------------------
101
 
102
 
103
   -- Option #1
104
   -- One tri-port RAM, two read-ports, one write-port
105
   -- 32 registers 32-bits wide
106 47 rhoads
   tri_port_mem:
107
   if memory_type = "GENERIC" generate
108
      ram_proc: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
109
            write_enable)
110
      variable tri_port_ram : ram_type;
111
      begin
112
         data_out1 <= tri_port_ram(conv_integer(addr_a1));
113
         data_out2 <= tri_port_ram(conv_integer(addr_a2));
114
         if rising_edge(clk) then
115
            if write_enable = '1' then
116
               tri_port_ram(conv_integer(addr_b)) := reg_dest_new;
117
            end if;
118 12 rhoads
         end if;
119 47 rhoads
      end process;
120
   end generate; --tri_port_mem
121 9 rhoads
 
122
 
123 12 rhoads
   -- Option #2
124
   -- Two dual-port RAMs, each with one read-port and one write-port
125
   -- According to the Xilinx answers database record #4075 this 
126
   -- architecture may cause Synplify to infer synchronous dual-port 
127
   -- RAM using RAM16x1D.  
128 47 rhoads
   dual_port_mem:
129
   if memory_type = "DUAL_PORT" generate
130
      ram_proc: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
131
            write_enable)
132
      variable dual_port_ram1 : ram_type;
133
      variable dual_port_ram2 : ram_type;
134
      begin
135
         data_out1 <= dual_port_ram1(conv_integer(addr_a1));
136
         data_out2 <= dual_port_ram2(conv_integer(addr_a2));
137
         if rising_edge(clk) then
138
            if write_enable = '1' then
139
               dual_port_ram1(conv_integer(addr_b)) := reg_dest_new;
140
               dual_port_ram2(conv_integer(addr_b)) := reg_dest_new;
141
            end if;
142
         end if;
143
      end process;
144
   end generate; --dual_port_mem
145 9 rhoads
 
146
 
147 12 rhoads
   -- Option #3
148 9 rhoads
   -- Generic Two-Port Synchronous RAM
149
   -- generic_tpram can be obtained from:
150
   -- http://www.opencores.org/cvsweb.shtml/generic_memories/
151
   -- Supports ASICs (Artisan, Avant, and Virage) and Xilinx FPGA
152 47 rhoads
--   generic_mem:
153
--   if memory_type = "OPENCORES_MEM" generate
154
--      bank1 : generic_tpram port map (
155
--         clk_a  => clk,
156
--         rst_a  => '0',
157
--         ce_a   => '1',
158
--         we_a   => '0',
159
--         oe_a   => '1',
160
--         addr_a => addr_a1,
161
--         di_a   => ZERO,
162
--         do_a   => data_out1,
163 9 rhoads
--
164 47 rhoads
--         clk_b  => clk,
165
--         rst_b  => '0',
166
--         ce_b   => '1',
167
--         we_b   => write_enable,
168
--         oe_b   => '0',
169
--         addr_b => addr_b,
170
--         di_a   => reg_dest_new);
171 9 rhoads
--
172 47 rhoads
--      bank2 : generic_tpram port map (
173
--         clk_a  => clk,
174
--         rst_a  => '0',
175
--         ce_a   => '1',
176
--         we_a   => '0',
177
--         oe_a   => '1',
178
--         addr_a => addr_a2,
179
--         di_a   => ZERO,
180
--         do_a   => data_out2,
181 9 rhoads
--
182 47 rhoads
--         clk_b  => clk,
183
--         rst_b  => '0',
184
--         ce_b   => '1',
185
--         we_b   => write_enable,
186
--         oe_b   => '0',
187
--         addr_b => addr_b,
188
--         di_a   => reg_dest_new);
189
--   end generate; --generic_mem
190 9 rhoads
 
191
 
192 12 rhoads
   -- Option #4
193 9 rhoads
   -- Xilinx mode using four 16x16 banks
194 47 rhoads
--   xilinx_mem:
195
--   if memory_type = "XILINX" generate
196
--      bank1_high: ramb4_s16_s16 port map (
197
--         clka  => clk,
198
--         rsta  => sig_false,
199
--         addra => addr_a1,
200
--         dia   => zero_sig,
201
--         ena   => sig_true,
202
--         wea   => sig_false,
203
--         doa   => data_out1(31 downto 16),
204 9 rhoads
--
205 47 rhoads
--         clkb  => clk,
206
--         rstb  => sig_false,
207
--         addrb => addr_b,
208
--         dib   => reg_dest_new(31 downto 16),
209
--         enb   => sig_true,
210
--         web   => write_enable);
211 9 rhoads
--
212 47 rhoads
--      bank1_low: ramb4_s16_s16 port map (
213
--         clka  => clk,
214
--         rsta  => sig_false,
215
--         addra => addr_a1,
216
--         dia   => zero_sig,
217
--         ena   => sig_true,
218
--         wea   => sig_false,
219
--         doa   => data_out1(15 downto 0),
220 9 rhoads
--
221 47 rhoads
--         clkb  => clk,
222
--         rstb  => sig_false,
223
--         addrb => addr_b,
224
--         dib   => reg_dest_new(15 downto 0),
225
--         enb   => sig_true,
226
--         web   => write_enable);
227 9 rhoads
--
228 47 rhoads
--      bank2_high: ramb4_s16_s16 port map (
229
--         clka  => clk,
230
--         rsta  => sig_false,
231
--         addra => addr_a2,
232
--         dia   => zero_sig,
233
--         ena   => sig_true,
234
--         wea   => sig_false,
235
--         doa   => data_out2(31 downto 16),
236 9 rhoads
--
237 47 rhoads
--         clkb  => clk,
238
--         rstb  => sig_false,
239
--         addrb => addr_b,
240
--         dib   => reg_dest_new(31 downto 16),
241
--         enb   => sig_true,
242
--         web   => write_enable);
243 9 rhoads
--
244 47 rhoads
--      bank2_low: ramb4_s16_s16 port map (
245
--         clka  => clk,
246
--         rsta  => sig_false,
247
--         addra => addr_a2,
248
--         dia   => zero_sig,
249
--         ena   => sig_true,
250
--         wea   => sig_false,
251
--         doa   => data_out2(15 downto 0),
252 9 rhoads
--
253 47 rhoads
--         clkb  => clk,
254
--         rstb  => sig_false,
255
--         addrb => addr_b,
256
--         dib   => reg_dest_new(15 downto 0),
257
--         enb   => sig_true,
258
--         web   => write_enable);
259
--   end generate; --xilinx_mem
260 9 rhoads
 
261 8 rhoads
 
262 12 rhoads
   -- Option #5
263
   -- Altera LPM_RAM_DP
264 47 rhoads
   altera_mem:
265
   if memory_type = "ALTERA" generate
266
      lpm_ram_dp_component1 : lpm_ram_dp
267
      GENERIC MAP (
268
         lpm_width => 32,
269
         lpm_widthad => 5,
270
         rden_used => "FALSE",
271
         intended_device_family => "UNUSED",
272
         lpm_indata => "REGISTERED",
273
         lpm_wraddress_control => "REGISTERED",
274
         lpm_rdaddress_control => "UNREGISTERED",
275
         lpm_outdata => "UNREGISTERED",
276
         use_eab => "ON",
277
         lpm_type => "LPM_RAM_DP"
278
      )
279
      PORT MAP (
280
         wren => write_enable,
281
         wrclock => clk,
282
         data => reg_dest_new,
283
         rdaddress => addr_a1,
284
         wraddress => addr_b,
285
         q => data_out1
286
      );
287
      lpm_ram_dp_component2 : lpm_ram_dp
288
      GENERIC MAP (
289
         lpm_width => 32,
290
         lpm_widthad => 5,
291
         rden_used => "FALSE",
292
         intended_device_family => "UNUSED",
293
         lpm_indata => "REGISTERED",
294
         lpm_wraddress_control => "REGISTERED",
295
         lpm_rdaddress_control => "UNREGISTERED",
296
         lpm_outdata => "UNREGISTERED",
297
         use_eab => "ON",
298
         lpm_type => "LPM_RAM_DP"
299
      )
300
      PORT MAP (
301
         wren => write_enable,
302
         wrclock => clk,
303
         data => reg_dest_new,
304
         rdaddress => addr_a2,
305
         wraddress => addr_b,
306
         q => data_out2
307
      );
308
   end generate; --altera_mem
309 8 rhoads
 
310 12 rhoads
end; --architecture ram_block
311 2 rhoads
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.