OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V3_0/] [vhdl/] [reg_bank.vhd] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Register Bank
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 2/2/01
5
-- FILENAME: reg_bank.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Implements a register bank with 32 registers that are 32-bits wide.
11
--    There are two read-ports and one write port.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15 12 rhoads
use ieee.std_logic_unsigned.all;
16 39 rhoads
use work.mlite_pack.all;
17 2 rhoads
 
18
entity reg_bank is
19 47 rhoads
   generic(memory_type : string := "GENERIC");
20 2 rhoads
   port(clk            : in  std_logic;
21 24 rhoads
        reset_in       : in  std_logic;
22 2 rhoads
        rs_index       : in  std_logic_vector(5 downto 0);
23
        rt_index       : in  std_logic_vector(5 downto 0);
24
        rd_index       : in  std_logic_vector(5 downto 0);
25
        reg_source_out : out std_logic_vector(31 downto 0);
26
        reg_target_out : out std_logic_vector(31 downto 0);
27
        reg_dest_new   : in  std_logic_vector(31 downto 0);
28
        intr_enable    : out std_logic);
29
end; --entity reg_bank
30
 
31 9 rhoads
 
32 8 rhoads
--------------------------------------------------------------------
33 9 rhoads
-- The ram_block architecture attempts to use TWO dual-port memories.
34 12 rhoads
-- Different FPGAs and ASICs need different implementations.
35
-- Choose one of the RAM implementations below.
36 9 rhoads
-- I need feedback on this section!
37 8 rhoads
--------------------------------------------------------------------
38
architecture ram_block of reg_bank is
39 55 rhoads
   signal intr_enable_reg : std_logic;
40 8 rhoads
   type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0);
41
 
42 9 rhoads
   --controls access to dual-port memories
43
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
44
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
45
   signal write_enable             : std_logic;
46 48 rhoads
--   signal sig_false                : std_logic := '0';
47
--   signal sig_true                 : std_logic := '1';
48
--   signal zero_sig                 : std_logic_vector(15 downto 0) := ZERP(15 downto 0);
49 8 rhoads
begin
50
 
51
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
52 55 rhoads
      intr_enable_reg, data_out1, data_out2, reset_in)
53 8 rhoads
begin
54 9 rhoads
   --setup for first dual-port memory
55
   if rs_index = "101110" then  --reg_epc CP0 14
56
      addr_a1 <= "00000";
57
   else
58
      addr_a1 <= rs_index(4 downto 0);
59
   end if;
60 8 rhoads
   case rs_index is
61
   when "000000" => reg_source_out <= ZERO;
62 55 rhoads
   when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg;
63 8 rhoads
   when "111111" => reg_source_out <= ZERO(31 downto 8) & "00110000"; --intr vector
64 9 rhoads
   when others   => reg_source_out <= data_out1;
65 8 rhoads
   end case;
66
 
67 9 rhoads
   --setup for second dual-port memory
68
   addr_a2 <= rt_index(4 downto 0);
69 8 rhoads
   case rt_index is
70
   when "000000" => reg_target_out <= ZERO;
71 9 rhoads
   when others   => reg_target_out <= data_out2;
72 8 rhoads
   end case;
73
 
74 9 rhoads
   --setup second port (write port) for both dual-port memories
75
   if rd_index /= "000000" and rd_index /= "101100" then
76
      write_enable <= '1';
77
   else
78
      write_enable <= '0';
79
   end if;
80
   if rd_index = "101110" then  --reg_epc CP0 14
81
      addr_b <= "00000";
82
   else
83
      addr_b <= rd_index(4 downto 0);
84
   end if;
85
 
86 55 rhoads
   if reset_in = '1' then
87
      intr_enable_reg <= '0';
88
   elsif rising_edge(clk) then
89
      if rd_index = "101110" then  --reg_epc CP0 14
90
         intr_enable_reg <= '0';           --disable interrupts
91 24 rhoads
      elsif rd_index = "101100" then
92 55 rhoads
         intr_enable_reg <= reg_dest_new(0);
93 9 rhoads
      end if;
94 8 rhoads
   end if;
95
 
96 55 rhoads
   intr_enable <= intr_enable_reg;
97 9 rhoads
end process;
98 8 rhoads
 
99 9 rhoads
 
100 12 rhoads
------------------------------------------------------------
101
-- Pick only ONE of the dual-port RAM implementations below!
102
------------------------------------------------------------
103
 
104
 
105
   -- Option #1
106
   -- One tri-port RAM, two read-ports, one write-port
107
   -- 32 registers 32-bits wide
108 47 rhoads
   tri_port_mem:
109
   if memory_type = "GENERIC" generate
110
      ram_proc: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
111
            write_enable)
112
      variable tri_port_ram : ram_type;
113
      begin
114
         data_out1 <= tri_port_ram(conv_integer(addr_a1));
115
         data_out2 <= tri_port_ram(conv_integer(addr_a2));
116
         if rising_edge(clk) then
117
            if write_enable = '1' then
118
               tri_port_ram(conv_integer(addr_b)) := reg_dest_new;
119
            end if;
120 12 rhoads
         end if;
121 47 rhoads
      end process;
122
   end generate; --tri_port_mem
123 9 rhoads
 
124
 
125 12 rhoads
   -- Option #2
126
   -- Two dual-port RAMs, each with one read-port and one write-port
127
   -- According to the Xilinx answers database record #4075 this 
128
   -- architecture may cause Synplify to infer synchronous dual-port 
129
   -- RAM using RAM16x1D.  
130 47 rhoads
   dual_port_mem:
131
   if memory_type = "DUAL_PORT" generate
132 55 rhoads
      ram_proc2: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
133 47 rhoads
            write_enable)
134
      variable dual_port_ram1 : ram_type;
135
      variable dual_port_ram2 : ram_type;
136
      begin
137
         data_out1 <= dual_port_ram1(conv_integer(addr_a1));
138
         data_out2 <= dual_port_ram2(conv_integer(addr_a2));
139
         if rising_edge(clk) then
140
            if write_enable = '1' then
141
               dual_port_ram1(conv_integer(addr_b)) := reg_dest_new;
142
               dual_port_ram2(conv_integer(addr_b)) := reg_dest_new;
143
            end if;
144
         end if;
145
      end process;
146
   end generate; --dual_port_mem
147 9 rhoads
 
148
 
149 12 rhoads
   -- Option #3
150 9 rhoads
   -- Generic Two-Port Synchronous RAM
151
   -- generic_tpram can be obtained from:
152
   -- http://www.opencores.org/cvsweb.shtml/generic_memories/
153
   -- Supports ASICs (Artisan, Avant, and Virage) and Xilinx FPGA
154 47 rhoads
--   generic_mem:
155
--   if memory_type = "OPENCORES_MEM" generate
156
--      bank1 : generic_tpram port map (
157
--         clk_a  => clk,
158
--         rst_a  => '0',
159
--         ce_a   => '1',
160
--         we_a   => '0',
161
--         oe_a   => '1',
162
--         addr_a => addr_a1,
163
--         di_a   => ZERO,
164
--         do_a   => data_out1,
165 9 rhoads
--
166 47 rhoads
--         clk_b  => clk,
167
--         rst_b  => '0',
168
--         ce_b   => '1',
169
--         we_b   => write_enable,
170
--         oe_b   => '0',
171
--         addr_b => addr_b,
172
--         di_a   => reg_dest_new);
173 9 rhoads
--
174 47 rhoads
--      bank2 : generic_tpram port map (
175
--         clk_a  => clk,
176
--         rst_a  => '0',
177
--         ce_a   => '1',
178
--         we_a   => '0',
179
--         oe_a   => '1',
180
--         addr_a => addr_a2,
181
--         di_a   => ZERO,
182
--         do_a   => data_out2,
183 9 rhoads
--
184 47 rhoads
--         clk_b  => clk,
185
--         rst_b  => '0',
186
--         ce_b   => '1',
187
--         we_b   => write_enable,
188
--         oe_b   => '0',
189
--         addr_b => addr_b,
190
--         di_a   => reg_dest_new);
191
--   end generate; --generic_mem
192 9 rhoads
 
193
 
194 12 rhoads
   -- Option #4
195 9 rhoads
   -- Xilinx mode using four 16x16 banks
196 47 rhoads
--   xilinx_mem:
197
--   if memory_type = "XILINX" generate
198
--      bank1_high: ramb4_s16_s16 port map (
199
--         clka  => clk,
200
--         rsta  => sig_false,
201
--         addra => addr_a1,
202
--         dia   => zero_sig,
203
--         ena   => sig_true,
204
--         wea   => sig_false,
205
--         doa   => data_out1(31 downto 16),
206 9 rhoads
--
207 47 rhoads
--         clkb  => clk,
208
--         rstb  => sig_false,
209
--         addrb => addr_b,
210
--         dib   => reg_dest_new(31 downto 16),
211
--         enb   => sig_true,
212
--         web   => write_enable);
213 9 rhoads
--
214 47 rhoads
--      bank1_low: ramb4_s16_s16 port map (
215
--         clka  => clk,
216
--         rsta  => sig_false,
217
--         addra => addr_a1,
218
--         dia   => zero_sig,
219
--         ena   => sig_true,
220
--         wea   => sig_false,
221
--         doa   => data_out1(15 downto 0),
222 9 rhoads
--
223 47 rhoads
--         clkb  => clk,
224
--         rstb  => sig_false,
225
--         addrb => addr_b,
226
--         dib   => reg_dest_new(15 downto 0),
227
--         enb   => sig_true,
228
--         web   => write_enable);
229 9 rhoads
--
230 47 rhoads
--      bank2_high: ramb4_s16_s16 port map (
231
--         clka  => clk,
232
--         rsta  => sig_false,
233
--         addra => addr_a2,
234
--         dia   => zero_sig,
235
--         ena   => sig_true,
236
--         wea   => sig_false,
237
--         doa   => data_out2(31 downto 16),
238 9 rhoads
--
239 47 rhoads
--         clkb  => clk,
240
--         rstb  => sig_false,
241
--         addrb => addr_b,
242
--         dib   => reg_dest_new(31 downto 16),
243
--         enb   => sig_true,
244
--         web   => write_enable);
245 9 rhoads
--
246 47 rhoads
--      bank2_low: ramb4_s16_s16 port map (
247
--         clka  => clk,
248
--         rsta  => sig_false,
249
--         addra => addr_a2,
250
--         dia   => zero_sig,
251
--         ena   => sig_true,
252
--         wea   => sig_false,
253
--         doa   => data_out2(15 downto 0),
254 9 rhoads
--
255 47 rhoads
--         clkb  => clk,
256
--         rstb  => sig_false,
257
--         addrb => addr_b,
258
--         dib   => reg_dest_new(15 downto 0),
259
--         enb   => sig_true,
260
--         web   => write_enable);
261
--   end generate; --xilinx_mem
262 9 rhoads
 
263 8 rhoads
 
264 12 rhoads
   -- Option #5
265
   -- Altera LPM_RAM_DP
266 47 rhoads
   altera_mem:
267
   if memory_type = "ALTERA" generate
268
      lpm_ram_dp_component1 : lpm_ram_dp
269
      GENERIC MAP (
270
         lpm_width => 32,
271
         lpm_widthad => 5,
272
         rden_used => "FALSE",
273
         intended_device_family => "UNUSED",
274
         lpm_indata => "REGISTERED",
275
         lpm_wraddress_control => "REGISTERED",
276
         lpm_rdaddress_control => "UNREGISTERED",
277
         lpm_outdata => "UNREGISTERED",
278
         use_eab => "ON",
279
         lpm_type => "LPM_RAM_DP"
280
      )
281
      PORT MAP (
282
         wren => write_enable,
283
         wrclock => clk,
284
         data => reg_dest_new,
285
         rdaddress => addr_a1,
286
         wraddress => addr_b,
287
         q => data_out1
288
      );
289
      lpm_ram_dp_component2 : lpm_ram_dp
290
      GENERIC MAP (
291
         lpm_width => 32,
292
         lpm_widthad => 5,
293
         rden_used => "FALSE",
294
         intended_device_family => "UNUSED",
295
         lpm_indata => "REGISTERED",
296
         lpm_wraddress_control => "REGISTERED",
297
         lpm_rdaddress_control => "UNREGISTERED",
298
         lpm_outdata => "UNREGISTERED",
299
         use_eab => "ON",
300
         lpm_type => "LPM_RAM_DP"
301
      )
302
      PORT MAP (
303
         wren => write_enable,
304
         wrclock => clk,
305
         data => reg_dest_new,
306
         rdaddress => addr_a2,
307
         wraddress => addr_b,
308
         q => data_out2
309
      );
310
   end generate; --altera_mem
311 8 rhoads
 
312 12 rhoads
end; --architecture ram_block
313 2 rhoads
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.